Verilog HDL表决器电路设计

一、电路基本原理

1.电路是多输入,单输出组合逻辑电路

2.输出根据输入比较关系,确定为0或1

3.输入为奇数

二、Verilog代码实现(下面以七人表决器设计为例子)

代码实现:

module biaojueqi(A,B,C,D,E,F,G,Y);//注意工程名,根据自己工程名而定
input  A,B,C,D,E,F,G;
output reg Y;
always @(A or B or C or  D or  E or F or G )
begin
if(A+B+C+D+E+F+G>=3'd4)
Y<=1'b1;
else
Y<=1'b0;
end
endmodule

编译代码,波形图如下

 

 三、任务实现

1.新建工程

2.设计输入

3.工程编译

4.设计仿真

5.器件选择与管脚约束

6.配置烧写

        

              

  • 4
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值