杭电FPGA远程实验平台Quartus II17.1——LCD屏色块移动

本人就读杭州电子科技大学,基于杭电FPGA远程试验平台和Quartus II17.1软件,设计对LCD屏的色块移动控制程序。希望大家能与我交流改进。下载后请先阅读readme文件。

1、实验目的

(1)熟悉TFT-LCD显示屏显示原理。

(2)掌握LCD屏显示单色的设计方法。

2、实验仪器

   (1)Quartus II软件

   (2)数字电子技术远程实境实验平台

3、实验原理

    静态显示:通过对显示区坐标的判定,控制颜色输出;

    动态显示:通过在显示区坐标内对色块起始坐标的运动轨迹满足判定,控制颜色输出;

4、实验内容


(1)使用Verilog HDL设计一个LCD显示控制器,点亮LCD显示器(分辨率:800x480@60Hz),绘制网格,对其中一个格子填充颜色。

(2)对其中一个格子填充颜色,并让其出现移动效果。

LCD色块矩形移动

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值