杭电FPGA远程实验平台Quartus II17.1——LCD屏课堂测

基于FPGA的LCD显示控制器设计测试:
设置远程平台界面拨位开关B0、B1、B2和B3为功能开关
开关为1时分别执行相应的功能:
要求1:B0为1日其他开关为0时,在LCD(分辨率为480*800)上画出行16*40列的网格,网格线宽度为1像素,背景色为白色、网格为黑色;
要求2:B1为1且其他开关为0时,设LCD屏幕的网格左上角第一个小格子为(0.0),在完成要求1的基础上,在(2,36)处设置目标点并显示红色;在(35.8)处设置起始点并显示蓝色;
要求3:B2为1且其他开关为0时,在完成要求2的基础上实现目标点的闪烁,周期为2秒;
要求4:B3为1且其他开关为0时,在完成要求3的基础上将蓝色小方块从起始点出发到达目标点,移动速度为0.3秒格,移动路径如下:起始点出发水平移动8格,再垂直移动,再水平移动至目标点,重复。
 

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值