杭电FPGA远程实验平台Quartus II17.1——LCD屏色块闪烁

本人就读杭州电子科技大学,基于杭电FPGA远程试验平台和Quartus II17.1软件,设计对LCD屏的色块闪烁控制程序。希望大家能与我交流改进。

1、实验目的

(1)熟悉TFT-LCD显示屏显示原理。

(2)掌握LCD屏显示单色的设计方法。

2、实验仪器

   (1)Quartus II软件

   (2)数字电子技术远程实境实验平台

3、实验原理

(1)像素(Pixel)和分辨率(Resolution)

像素是指由图像的小方格组成的,这些小方块都有一个明确的位置和被分配的色彩数值,小方格颜色和位置就决定该图像所呈现出来的样子。LCD显示屏模块采用24位并行RGB接口,其中thpw(行同步准备)、thb(行后沿)、thfp (行前沿) 、tvpw (场同步准备) 、tvb (场后沿)和tvfp(场前沿)六个参数,在写驱动程序时候使用其配置LCD的时序。

(2)LCD显示屏像素扫描

1)使用子母两个计数器对驱动时钟进行计数。

2)子计数器的计数范围为0-1055,所代表一个行扫描周期,满载时在下一个时钟周期清零,并使母计数器+1。

3)母计数器的计数范围为0-524,所代表一个场扫描周期,满载时在下一个时钟周期清零。

4、实验内容

(1)使用Verilog HDL设计一个LCD显示控制器,点亮LCD显示器(分辨率:800x480@60Hz),绘制网格,对其中一个格子填充颜色。

(2)对其中一个格子填充两种不同的颜色,让其出现闪烁效果。

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值