(南京观海微电子)——EDP简介

一、EDP简介

        EDP(Embedded DisplayPort)是数字显示技术领域的标准协议,其创始者为视频电子标准协会(VESA),创始成员包括戴尔、惠普、三星、飞利浦以及英伟达等。eDP协议是针对DP(Display Port)应用在嵌入式方向架构和协议的拓展,所以eDP协议完全兼容DP协议。相对于DVI/HDMI来说,eDP具有高带宽、整合性好、相关产品设计简单,该接口已广泛应用于笔记本电脑、平板电脑、手机等其它集成显示面板和图像处理器的领域。eDP接口降低设备复杂性,支持关键跨行业应用程序的必要功能,并提供性能可伸缩性,以支持具有更高颜色深度、刷新率和显示分辨率的下一代显示器。

        个人电脑产业针对嵌入式显示面板的使用需求,于2008年首次发表一个新的影像传输介面标准–嵌入式DisplayPort,又称eDP。eDP逐渐取代旧有的低电压差动讯号(LVDS)传输介面,尤其是在FHD(1,920x1,080或1,920x1,200)或超过FHD解析度的面板上。你可轻易地在各种拥有嵌入式显示面板的产品中找到eDP的应用,包含一体成型电脑(All-in-One PC)、笔记型电脑或是平板电脑等。

        EDP是根据DisplayPort标准衍生出来的,随着时间的演进,eDP也发展出许多针对嵌入式显示面板应用需求的独有功能。视讯电子标准协会(VESA)于2012底发表的最新eDP 1.4,即囊括许多降低系统功耗的新功能,预计支援eDP 1.4的平台将于2015年上市。

        EDP的推出,最大的动力来自于行业要求各个接口的低电平趋势和统一化,LVDS这一接口在eDP推出后就迅速被其取代,HDMI目前还在挣扎,但其DDC要求5V电平,未来要么降低电平/功耗,要么慢慢被DP取代。

二、 EDP协议

eDP接口信号主要由Main Link、AUXCH与HPD三部分组成

2017-6-23 9:52:31      点击:11926

eDP接口信号主要由Main Link、AUXCH与HPD三部分组成,如下图所示。


        ①Main Link:表示主通道,用来传输各类型视频数据和音频数据;AUX CH表示辅助通道,用于传输低带宽需求的数据,以及链路管理和设备控制信号;HPD表示热插拔检测通道。

Main Link南1~4对数据线组成,每对数据线都是一对差分线。对于一款液晶屏而言,Main Link具体需几对数据线,这取决于屏幕的分辨率和彩色位数。

在该通道中传送的信号有视频像素信号、视频定时信号、视频格式信号、比特/像素及颜色空间信号和视频信号的误差补正信号,并采用ANXI8B/10B编码方式,以提高数据传输正确性。数据传输采用交流耦合技术,发送端和接收端有不同的共模电压,因此可以把接口做得更小。杰卡诺/JECANO——极细同轴线束领域的顶级生产厂家!VByOne|LVDS|eDP|Cable|VBYONE|KEL|屏线|连接线;为客户提供高效、优质、可靠的信号及电源传输解决方案!!!

        ANSI8B/10B编码是先将一组连续的8位数据分成两组数据,一组3位,一组5位,然后经过编码,得到一组4位、一组6位的二进制数据。

        ②AUX CH:是一条双向半双工传输通道,其信号采用交流耦合差分传输方式,信号采用Manchesterll编码,具有lMbps的传输速率和15m的传输距离。每个传输任务的延时时间小于500μs。

另外,该通道与EDID及DPCD存储器相连,并通过总线方式进行读写。EDID为扩展显示标识数据,用来存储显示器参数;DPCD为eDP接口配置数据,与链路管理层相连,用于链路的配置。

        ③HPD:是一条单向通道,用于检测E层设备和下层设备是否连接,进而实现线路的连接和中断。

        ④BLControl:背光控制引脚,这个是可选的,如果LCD支持1.2及以上,硬件接口连接是可以不用背光控制引脚,直接通过AUX来控制背光。

速度

### 硬件结构 eDP既然脱胎于DP,硬件逻辑上大的链路是一样的,所不同的是eDP有dedicated的背光控制,根据不同情况可能会有最多三个信号支持这一功能。

本文最初有提到,eDP的信号脱胎自DP,不仅包含DP所有的信号,并且为了触摸功能增加了一些自己定义的信号,同时eDP存在40pin,50pin等规格,但其信号种类是基本没有变化的。

性能计算方法
3.1. Lane与rate的需求计算
以1920x1080@60Hz计算,其像素时钟为148.5MHz,如果传输像素单元为24bpp,则其传输带宽为:
148.5MHz24bpp=3.564Gbps
eDP 1.4支持最大单lane 5.4Gbps,其传输有效数据带宽为:
5.4Gbps0.8=4.32Gbps
结论:单lane 5.4Gbps 即可满足传输需求;
3.2. 基本传输单元(TU)插入空闲字符的个数
为了避免link 带宽过载,数据的打包速率只能等于或者小于link symbol的速率,如果是小于的情况,则需要传输空闲字符,空闲字符放在FS与FE之间。一个基本传输单元具有32~64个link symbol,而且在传输过程中不能改变。
假如显示规格为1920x1080@ 60Hz,24bpp,strm_clk=148.5MHz,采用2.7Gbps,4lane传输,TU的大小固定为64
Packt data rate=148.5*24/8/4=111.375MHz;
平均每个TU中有效数据的个数=(111.375M/270M)*64=26.4;
所以单lane中TU的大小为64,active symbol为27个。
 

三、硬件设计

        

EDP差分线走线要求

走线需严格遵循差分规则要求走线,线对内两根信号的长度误差控制在10mil 以内,线对与线对之间的长度误差控制在30mil 以内;走线拐角尽量用弧线或者钝角,不能为直角或锐角,阻抗要求Z=100ohm±10ohm。

四、软件设计

为了能让PC或其他的图像输出设备更好的识别显示器属性。

因为随着显示设备的发展,显示器的种类越来越多,模拟的、数字的、普屏的、宽屏的、17寸、19寸、22寸……这让PC傻了眼,分辨率和时序的种类太多了,而每种显示器又不可能支持所有的分辨率,那怎么知道该给显示器一个什么样的分辨率啊?显示出的效果是最佳效果吗?不仅如此,随便输出一个分辨率还有损坏显示器硬件的可能,这可太危险了。于是,EDID临危受命,担当起显示器和PC之前的传话筒。“PC你好,我是A显示器,我能显示N种分辨率,最佳分辨率是XXX”。“显示器你好,收到你的信息,现在就按最佳分辨率给你输出”。这下大家明白了吧,EDID就是为了能让PC或其他的图像输出设备更好的识别显示器属性而出现的。


三,EDID的组成EDID 由128个字节组成,大致划分如下0-7 :头信息 ,8个字节,由00 FF FF FF FF FF FF 00 组成8-9:厂商ID10-11: 产品ID12-15:32-bit序列号16-17 :制造日期18-19 : EDID 版本20-24 : 显示器的基本信息(电源,最大高度,宽度)25-34 : 显示器的颜色特征35-37 : 显示器的基本时序,定时 ,分辨率38-53 : 显示器的标准时序及定时54-125: 显示器的详细时序及定时126: 扩展标志位,EDID-1.3版本需要忽略,设置为0127: 求和验证值四,EDID的详细列表1,头信息
0-7字节 :头信息 ,8个字节,由00 FF FF FF FF FF FF 00 组成,很容易从数据结构中的其他字节中识别出来。格式如下:

2,厂商+产品信息供应商/产品ID块由多个用于唯一标识监视的字段组成。字段的大小和顺序如下表所示:

8-9字节:厂商ID,厂商ID名称字段包含监视器制造商的2字节表示。基于压缩的ascii码,“0001=A”..“11010=Z”.厂商ID由三个简写的字符组成,该简称字符由微软发布。字段组成如下:

10-11字节:产品ID。“ID产品代码”字段包含一个由供应商分配的2字节产品代码。这是用来区分不同模型和同一制造商。如果此字段用于表示模型编号,则该编号将以十六进制存储,并首先存储最低有效字节。
12-15字节:32-bit序列号。32位序列号的排列顺序如下,低字节储存在低地址位,高字节储存在高地址位。在一个字节中,每一位按照高低顺序排列,高位在前,低位在后。
ID序列号是一个32位序列号,用于区分同一型号监视器的各个实例。它的使用是可选的。使用时,该字段的位顺序如下表3.6所示。将监视器的序列号表示为一个单独的描述符块中的ASCII字符串。当序列号在描述符块中表示为字符串时,则该字段的字节数不应为00h。该字段可以包含部分序列号,如果不使用,则应为01h、01h、01h、01h。

16-17字节:制造日期
制造周:如果使用,生产周字段设置为1-53范围内的值。如果未使用此字段,则应将该值设置为0。
制造年:年份用于表示监视器的制造年份。所存储的值是从下列公式得出的1990年多出的年份:储存值=生产的年份-1990;3, EDID 版本18-19 字节: EDID 版本。存储适当的版本和修订编号。这些值定义正在使用的EDID结构。符合本文件的产品应具有 Version=1,Revision=3。

4,显示器基本信息20-24字节:显示器的基本信息(电源,最大高度,宽度)


第20字节:视频输入定义。该字段告诉主机如何配置的视频输出以驱动附加显示的信息。Bit7:定义使用“模拟输入”还是“数字输入”。模拟:0.数字:1。

当bit7=0(模拟信号输入)时,其余的bit6-0定义如下:

当bit7=1(数字信号输入)时,其余的bit6-0定义如下: [6:1]:保留字段。 bit0:如果设置为1,则接口信号与VESA DFP 1.XTMDS CRGB兼容,1个像素/时钟,最多8位/颜色,MSB对齐,DE高电平有效。

第21字节:最大水平图像尺寸(单位:cm)。四舍五入。1-255cm。
第22字节:最大垂直图像尺寸(单位:cm)。四舍五入。1-255cm。
第23字节:显示传递特性(伽玛值,gamma)。该储存的值得计算方法:(gamma x 100)-100。如果设置为FF,则表示未定义伽马值。该字段能够在1.00到3.54的范围内表示伽马值。
例如,伽马值2.2时该处的值为120。
第24字节:功能支持(DPMS)。


25-34字节: 显示器的颜色特征。这些字节提供色度和白点信息。白点值应是默认的白点(白点设置在电源上或在将显示器重置为其默认设置上)。其中一个监视器描述符提供了多个白点。

色度和白点值用分数表示,精确到千位。每个数字用长度为10位的二进制分数表示。在这个分数中,小数点(第9位)右边的位的值1表示2的幂为-1。最右边的位(位0)中的值为1表示提升到-10次方的值2。
高位(9:2)存储为单字节。低位(1:0)与其他字节低位配对形成字节。使用此表示法,所有值应精确到实际值的+/-0.0005。十位二进制分数表示转化如下:


35-37字节: 显示器的基本时序,定时 ,分辨率。表示显示器能够支持支持的显示分辨率和时序。该为设置为1表示支持该时序。


38-53字节: 显示器的标准时序及定时。该16个字节提供最多8个额外计时的标识,每个计时由一个从模式格式和刷新率派生的唯一2字节代码标识。该方案用于确定未包含在已确定时间段内的未来标准时间。与VESA离散监视器定时模式不相关的标准定时标识符是指使用带有默认系数的VESA GTF计算的模式。该方案也可用于专门用于主机已经具有完整定时信息的专用系统的监视器。该字段未使用的字段应设置为01h,01h。工厂支持的模式被定义为在监控器从工厂传递时大小和中心正确的模式。第一个字节表示显示器支持的水平有效像素数量。该处储存的值得计算公式为:(有效的行像素数量/8)-31.故可以表示的行有效像素值为:(储存值+31)*8,可表示的像素范围为256-2288,每次的增量为8.

54-125字节: 显示器的详细时序及定时。详细计时块可以用来描述任何时序。详细的定时块被分成四个描述符,每个描述符为18个字节。不应存在任何未使用的详细计时块。所有数据块均应包含支持计时的数据。如果监视器只支持一种定时模式,则应使用监视器描述符来填充可用空间。 126字节: 扩展标志位,EDID-1.3版本需要忽略,设置为0。可选项。127字节: 求和验证值。编程该字节使得128字节的全部edid的1字节校验和等于00h。

Timing-controller 通常缩写为TCON

  • 24
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
### 回答1: EDP1.2和EDP1.3是企业发展计划中的两个关键部分。EDP代表企业发展计划(Enterprise Development Plan)。 EDP1.2是指企业发展计划的第一个阶段中的第二个重要组成部分。在这个阶段,企业需要制定具体的目标和战略,以实现其使命和愿景。具体而言,EDP1.2包括以下几个方面: 1. 业务目标:企业需要明确自己的业务目标,包括市场份额、销售额和盈利能力等方面。这些目标应该是具体、可衡量和可达成的。 2. 市场分析:企业需要对市场进行深入分析,包括了解竞争对手、目标客户和市场潜力等方面。通过市场分析,企业可以确定自己的定位和市场策略。 3. 产品和服务策略:企业需要确定其产品和服务的特点和差异化优势。通过研究客户需求和市场趋势,企业可以调整和改进自己的产品和服务。 EDP1.3是指企业发展计划的第一个阶段中的第三个重要组成部分。在这个阶段,企业需要制定详细的实施计划,以确保目标的顺利实现。具体而言,EDP1.3包括以下几个方面: 1. 资源配置:企业需要确定所需的各种资源,包括资金、人力和技术等方面。通过合理配置资源,企业可以提高工作效率和生产力。 2. 组织结构:企业需要建立合适的组织结构和管理制度。通过明确职责和权利,企业可以确保工作流程的顺畅和责任的明确。 3. 执行计划:企业需要详细制定实施计划,包括时间表、任务分配和绩效评估等方面。通过有效的执行计划,企业可以监控进展并及时调整。 总之,EDP1.2和EDP1.3是企业发展计划中关键的组成部分,帮助企业确定目标、制定战略并实施计划。通过这些步骤,企业可以提高竞争力和实现可持续发展。 ### 回答2: EDP1.2和EDP1.3是指"识别和保护电子数据的隐私与保密性"以及"确保电子数据的完整性和可靠性"这两个项目的要求。 EDP1.2主要关注的是识别和保护电子数据的隐私与保密性。在当今数字化的时代中,电子数据的隐私和保密性变得尤为重要。这一要求要求组织识别敏感和私密的电子数据,并采取相应的安全措施,以防止未经授权的访问和泄漏。这可以通过实施访问控制策略、加密和安全认证等措施来实现。通过实施这些措施,组织可以确保电子数据只能被授权人员访问,从而保护其隐私和保密性。 而EDP1.3则侧重于确保电子数据的完整性和可靠性。数据完整性是指数据的正确性和完整性,数据可靠性则指数据的可信度和可靠性。EDP1.3要求组织实施相应的控制措施,以防止因误操作、数据损坏、未经授权的访问等原因造成数据的损坏、篡改或丢失。这可以通过备份和恢复策略、数据验证和校验措施以及实施访问控制措施来实现。通过实施这些措施,组织可以确保电子数据的完整性,提高数据的可靠性和可信度。 综上所述,EDP1.2和EDP1.3要求组织识别、保护和维护电子数据的隐私、保密性、完整性和可靠性。这些要求对于组织来说是至关重要的,可以帮助组织保护其关键信息和重要数据,同时确保数据的可靠性和准确性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Lornell

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值