彩灯控制电路设计 -- 流水灯双花型(附Multisim)

一、实验任务及要求

设计要求

设计一个彩灯控制逻辑电路,要求显示2种不同的花型:

花型1:10路彩灯按照L0、L1…..L9的顺序依次点亮至全亮,再按照L9、L8…..L0的顺序依次熄灭至全灭,间隔时间为1S。

花型2:10路彩灯按照L0L1亮、L1L2亮、L2L3亮、…L8L9亮、L9L0亮的顺序轮流点亮,间隔时间为1S。 控制电路设有启动、复位按钮。按下启动按钮,进入花型1、花型2并反复循环运行;任何时候按下复位按钮,全部灯灭。同时控制电路设有一个数码管用来显示正在运行的花型的序号。

二、思考题

1. 设计过程中遇到过哪些问题?是如何解决的?

2. 按实验任务要求设计电路,画出完整的逻辑电路图。

三、流水灯双花型设计与分析

实验电路仿真如下

(1) 此实验用到的芯片有74LS194N移位寄存器和74160N计数器。

(2) 计数器是从0000到0100计数,计数信号根据移位寄存器输出端信号来进行相应的翻转。0000和0001属于第一花型,即实现10路彩灯按照L0、L1…..L9的顺序依次点亮至全亮,再按照L9、L8…..L0的顺序依次熄灭至全灭,间隔时间为1S。0010和0011属于第二花型,10路彩灯按照L0L1亮、L1L2亮、L2L3亮、…L8L9亮、L9L0亮的顺序轮流点亮,间隔时间为1S。

(3) 当左端计数器为0000时,下面三个移位寄存器实现右移,右移输入1,实现逐渐亮灯。 当左端计数器为0001时,下面三个移位寄存器实现左移,左移输入0,实现逐渐灭灯。 当左端计数器为0010时,下面三个移位寄存器实现右移,右移输入1,亮第一盏灯。 当左端计数器为0011时,下面三个移位寄存器实现右移,右移输入1,实现两灯两灯亮。

(4) 计数器信号来临(用到计数器保持ENP端)。 最后一盏灯亮时0000计数器信号翻转,产生一个脉冲信号给ENP端,使其不保持(即计数)。 第一盏灯亮时0001计数器信号翻转,再次产生一个脉冲信号给ENP端。 第一盏灯亮时0010计数器信号翻转,再次产生一个脉冲信号给ENP端。 移位寄存器第11位为1时0011计数器信号翻转,产生一个脉冲信号给ENP端。

(5) 0100为循环信号,即异步清零。另外异步清零也受启动开关的影响,故将0100端跟启动开关与后才接到异步清零端。

(6) 数码管。左端数码管只是简单的计秒用,清零受开关和ENP端信号的影响;右端数码管为显示当前的花型。

(7) 开关。A开关主要实现对时钟的控制和灭灯功能,B开关为选择花型2,上接为花型二循环(利用load置数端),再按回原位为继续进入花型一、花型二循环亮灯。故功能实现完毕。  

源文件下载链接(仅供学习参考):

链接:百度网盘 请输入提取码 
提取码:6666

  • 5
    点赞
  • 78
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值