SPI接口的FPGA实现(三)——Verilog代码实现SPI接口

上一篇文章我们介绍了DAC81416的配置过程,这一篇我们就用Verilog代码具体实现这个过程,这一篇的代码具有普遍性,以后所有DA/AD的配置代码都可以在本文所展示的代码上进行修改获得。这里先给出源代码链接和一个通用fifo(作为子模块)的代码链接。这是我在FPGA教学系列文章中第一次展示代码,所以我会以设计者的角度来还原代码编写时的设计步骤,也就是设计思想的展示,希望能给初学者们一个参考,当然仅仅是参考,不代表萌新们一定要这样做,或许你会觉得我这篇文章写得很啰嗦,那就请无视文章直接下载源代码吧。后续的文章中除非特定情况,否则不会再有这样的设计思想展示。

一、端口的定义

我们对设计需求的建立过程就是我们的模块端口的定义过程。一般情况下,我们在编写代码时,先要整体考虑我们的需求,也就是我们的目的。这里,我们知道我们的目的就是通过SPI配置DAC81416并且配置完成之后再发送数据,所以我们除了定义和DAC通信的端口外,还要定义用户端的端口,用户端的端口可以根据实际情况自定义(用户可能是自己的其他模块,也可能是别人的模块),这里给出的只是我定义的形式,不同的读者有不同的定义形式,只要你觉得好用,符合你自己的思维习惯或者符合别人的接口标准,就行。

  • 11
    点赞
  • 64
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值