Verilog常用的系统函数和任务(不定期更新)

本文介绍了Verilog中常用的系统函数,包括文件操作如$fopen、$fclose、$fwrite、$fdisplay等,以及控制台显示、随机数生成、仿真控制和时间显示等功能。这些函数在FPGA开发和testbench编写中非常实用,通过实例展示了如何使用它们进行数据读写和仿真控制。
摘要由CSDN通过智能技术生成

我们在开发FPGA的过程中,特别是编写testbench时,经常会用到Verilog的系统函数,在查看Xilinx官方testbench或者大神写的testbench时,也会看到各种各样的系统函数,系统函数的特点就是前面带有$符号。灵活使用系统函数可以使得testbench的功能变得十分强大,然而大部分Verilog的中文教材介绍系统函数时都比较简略,这里我先提供IEEE的Verilog标准文档下载链接,在标准文档的第17章,会有所有系统函数的详细介绍,本篇会把最常用的系统函数做简单介绍,本篇会不定期更新。

一、文件操作

1、打开文件$fopen和关闭文件$fclose

文件打开进行读写操作之后记得一定要关闭,这点和其它所有编程语言都一样。

integer fp;

fp = $fopen("file_path/file_name","wb");

$fclose(fp);

$fopen的第一个参数是包含路径的文件名,这个路径可以是绝对路径,也可以是相对于当前文件夹(该条语句所在的文件所处的文件夹)的相对路径,如果打开的文件就在当前文件夹下,则可以直接写文件名,记得带后缀;第二个参数是打开类型,如果不填写,默认就是w,常见的打开类型如下表所示 ;返回值是一个多通道描述符(就是指针),由于Verilog没有指针变量,所以这里赋值给一个整型变量。

  • 5
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值