gvim for verilog简易配置

目录

前言

一、gvim的主题和字体资源

二、gvim编辑器基本配置

三、gvim针对verilog配置

总结


前言

分别介绍了gvim的主题和字体资源推荐,gvim编辑器基本配置和针对verilog的配置。


以下为正文

一、gvim的主题和字体资源

1、主题(color scheme)资源

        在gvim官网中,提供了较多的主题资源可供选择,主题资源,以lucius为例,在下载资源(*.vim)后,将其放入vim安装路径下color文件夹中,并在gvim的配置文件中加入:

colorscheme lucius

2、字体资源

        个人比较喜欢Ubuntu Mono的字体,下载后得到*.ttf文件,双击后即可安装,然后在gvim的配置文件中加入:

set guifont=Ubuntu\ Mono:h18

二、gvim编辑器基本配置

        这里做一些简单的配置,以后有更方便的再进行添加,如下:

set smarttab
set shiftwidth=2
set tabstop=2
set number

gvim在windows系统中系统粘贴复制的快捷键为:shift+Insert(从剪贴板中粘贴)、ctrl+Insert(复制选中的文本到剪贴板)

三、gvim针对verilog配置

1、使用gvim建立verilog文件时,自动生成简单的常用工程代码

autocmd BufNewFile *.v,*.sv,*.cpp,*.c,*.h exec ":call Setfilehead()"
func Setfilehead()
	call append(0,'/***************************************')
	call append(1,'#')
	call append(2,'#			Filename:'.expand("%"))
	call append(3,'#')
	call append(4,'#			Developer:annotater')
	call append(5,'#			Description:---')
	call append(6,'#			CreatTime:'.strftime("%Y-%m-%d %H:%M:%S"))
	call append(7,'#')
	call append(8,'***************************************/')
	call append(9,'module '.expand("%:r")."(")
	call append(10,'')
	call append(11,');')
	call append(12,'')
	call append(13,'')
	call append(14,'endmodule')
endfunc
map <F11> :call Setfilehead()<CR>:10<CR>o

2、在明德扬视频中学到的,可以使用gvim调用代码,不需要重复输入代码,就可以把精力更多的放在设计上,这里以一个时序逻辑为例,在gvim配置文件中输入如下代码:

:ab zuhe1 always@(*)begin<Enter><Enter><Enter>end

在gvim编辑中输入zuhe1,如下图所示

回车后则可得到代码,如下图所示

以此为例,可以添加自己常用的电路代码模块,以减少编写代码的时间。


总结

描述一些在verilog设计中gvim的基本设置,也是自己查看别人的博客后得到的经验,谢谢他们的分享。

配置GVim环境来编写和调试Verilog代码,需要遵循以下步骤: 1. 安装GVim:首先,从GVim官方网站或软件仓库下载和安装GVim。根据你的操作系统,选择相应的版本进行安装。安装完成后启动GVim。 2. 安装插件管理器:GVim支持插件,可以通过插件扩展其功能。使用插件管理器可以方便地安装和管理这些插件。常见的插件管理器包括Vundle、Pathogen和vim-plug。选择并安装一个插件管理器。 3. 配置插件管理器:在GVim配置文件.vimrc中添加插件管理器的相关配置。一般来说,需要设置插件管理器的安装目录和相关插件列表。 4. 安装Verilog插件:使用插件管理器来安装Verilog相关插件。例如,Verilog-SystemVerilog插件、vim-verilog等。这些插件提供了Verilog代码的高亮显示、自动补全等功能。 5. 配置Verilog插件:在.vimrc文件中根据插件的文档提供的说明来进行配置。可以设置Verilog代码缩进、快捷键映射、自动补全规则等。 6. 设置编译和调试环境:GVim通常需要与其他工具配合使用来进行Verilog代码的编译和调试。例如,使用iverilog进行编译,使用GTKWave进行波形查看。根据你选择的工具,配置GVim相关的设置和宏定义。 7. 自定义设置:根据个人喜好,可以自定义GVim的配色方案、字体、窗口布局等设置,使其更符合个人的使用习惯。 8. 测试和调试:配置完成后,可以打开一个Verilog文件进行测试和调试。通过插件提供的功能,可以方便地进行代码编写、自动补全、语法检查等操作。 总之,配置GVim环境来编写和调试Verilog代码需要安装GVim和插件管理器,安装Verilog相关插件并进行配置,设置编译和调试环境,自定义GVim设置,并进行测试和调试。这样就可以在GVim中高效地编写和调试Verilog代码了。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Annotater

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值