计数器控制电路设计

1、设计任务和要求:

设计一个模为“学号后三位+100”的计数器,当计数器的值等于同宿舍同学的学号(后三位)时输出Z为1(指示灯亮),否则输出为0.(CP频率f=1HZ)

2、基本设计思路:

1)        基于本人学号为“041”,于是设计一个模为141的计数器。

2)        设计指示灯Z控制电路,当计数器的值等于同宿舍同学学号,即041、042、043、044、045、046、047、048时,指示灯亮。

3、各单位电路设计:

1)        模141计数器电路的设计:

使用两个四位十进制计数器74LS161同步级联组成N=16*16=256的计数器,然后用整体置数法构成模141计数器,计数范围为0~140,当计到140(10001100)时,将140对应的输出端连接一个三输入与非门的输入端,输出端连接两个计数器的清零端,同步置零重新计数。

2)        指示灯控制电路的设计:

要使计数器的值为041~048(00101001~00110000)时指示灯亮,为其余值时指示灯不亮,我们使用了一片74LS138即3-8译码器,当地址码为00101001~00110000时,译码器的输出Y0的非~Y7的非分别被译中,用一个八输入与非门将74LS138的8个输出端连接到指示灯,即可实现电路功能。

我们用A7~A0控制74LS138的使能输入端,使得E1=A5(A4+A3)[A4+( A0 +A1 +A2 + A3 )],E2的非=E3的非=A7+A6+[A3+( A0 +A1 +A2)],即可实现电路功能。(加粗体的+表示异或)

4、电路设计如下图:

5、电路仿真结果:

我们用Multisim进行仿真,得到了正确的结果,当计数器运行时间为41~48秒,即计数器计到041~048时,指示灯亮。


当计数器运行时间超过48秒,指示灯灭。


当计数器运行时间为182~189秒,即计数器第二个周期计到041~048时,指示灯亮。


6、电路不足反思:

不能实时显示计数器的数值,不能直观表示计数器的功能。

 

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
计数器是一种逻辑电路,在数字系统中被广泛使用。它可以用于对时钟脉冲进行计数,也可以用于分频、定时、产生节拍脉冲、产生脉冲序列以及进行数字运算等等。熟悉和掌握计数器的设计对于学习Verilog很有用,它可以帮助锻炼逻辑能力和更深入地理解数字电路的工作原理。在Verilog中实现计数器的代码可以采用RTL(寄存器传输级)电路实现。 计数器的Verilog代码实现主要依赖于时钟脉冲的计数。计数器可以根据时钟上升沿的个数来进行计数。例如,我们可以使用一个寄存器来保存当前计数值,并且在每个时钟上升沿时对其进行递增。通过控制递增的次数,我们可以实现不同的计数功能,比如对时钟脉冲进行频率分频、定时等。 以下是一个简单的Verilog计数器电路设计的示例: ```verilog module Counter ( input wire clk, input wire reset, output wire [N-1:0 count ); parameter N = 8; // 设置计数器的位数 reg [N-1:0 count_reg; always @(posedge clk or posedge reset) begin if (reset) begin count_reg <= 0; end else begin count_reg <= count_reg + 1; end end assign count = count_reg; endmodule ``` 在这个示例中,计数器模块接收一个时钟信号(`clk`)、一个复位信号(`reset`)和一个输出信号(`count`)。通过控制复位信号,我们可以将计数器的值重置为0。而在每个时钟上升沿时,计数器的值会递增。最终,输出信号`count`会反映当前的计数值。 以上是一个基本的Verilog计数器电路设计的示例。根据实际需求,我们可以对计数器进行更复杂的设计,比如设置不同的计数范围、添加使能信号等等。通过掌握计数器的设计,我们可以更好地理解数字电路的工作原理,并且对科技产生更大的兴趣。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [基于 Verilog 的经典数字电路设计(8)计数器](https://blog.csdn.net/MicroTalent12/article/details/106558484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值