sumblime + icarus Verilog 数字电路仿真

sublime text3 前端

icarus Verilog编译器(内含gtkwave波形显示)

数据选择器功能函数:


激励函数:


仿真测试:


主要用到的语法高亮,REPL等Packages_Sublime可点击下载,粘帖到sumblime的Preference -> browse packages里,重启sublime即可

在编译时需要将Tools -> Build System切换为Verilog 按ctrl+B即可编译

在REPL中输入vvp a.out可生成波形图文件(*.vcd,名字为激励函数中的命名)

接着输入gtkwave ff.vcd,点击所需要查看的数据,插入 即可得到波形图如下


可以看到当S = 0时,输出等于D0,当S = 1时,输出等于D1。

以后有空再写详细一点。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值