在ubuntu下配安装Icarus verilog

本文介绍了如何在Ubuntu Linux系统中利用Icarus Verilog进行Verilog模块的调试,包括编写测试激励和查看波形图的步骤。通过一个简单的D触发器示例,展示了调试过程。
摘要由CSDN通过智能技术生成

 

本文转自http://pt0079.blog.ubuntu.org.cn/archives/category/verilog

如何在Linux下调试verilog

04月 18th, 2009

由于某些原因,原来调fpga的那台机器暂时使用不能,只好四处搜索verilog编译器,
希望能在配置糟糕的第一台机器上完成前仿。
后来就有了这篇文章,希望对于逃不掉linux+verilog的童鞋有点用
首先列出找到的两个比较上路子的编译器:
1.Icarus Verilog
2.VeriWell Verilog Simulator
两个项目都还活着,并且2009年都有更新,在sourceforge都能找到

然后列出的是查看波形图的软件:
1.gtkwave

我使用的是Icarus Verilog + gtkwave的组合,它们可以直接通过debian或者
ubuntu的apt-get install安装,简单方便。
//我的Icarus是自己编译的最新的0.91
//因为ubuntu源安装的Icarus Verilog[sudo apt-get install verilog ]
居然不支持lxt文件,会导致仿真文件比较大并且慢
Icarus Verilog的主页和wiki分别是:
http://www.icarus.com/eda/verilog/
http://iverilog.wikia.com
不幸的是后者被gfw了,需要翻墙...
因为不能进wika.com所以在网上找了老半天也没找到相应的关于如何手动
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值