FPGA的IO配置注意事项(未完待续)

一、管脚分类

1. 管脚分类1:专用管脚(20%-30%),用户自定义管脚(70%-80%);共两种;

2. 管脚分类2:时钟,配置,普通IO, 电源;共四种类型;

3. 管脚分类3:以黑金AX301,EP4CE17C8为例:

                        正△为电源管脚,倒△为GND; △中为O则是IO电源管脚,△中为I 则是内核电源;

                        圆形标记的管脚为普通IO管脚,可以任意使用;

                        正方形且内部有时钟信号的,为全局时钟管脚;

                        五边形管脚为配置管脚

二、IO分配方案的重要性

一个合理的IO分配方案可保证系统从一开始就获得优良的速度性能、稳定性、热性能和功耗,并缩短整个系统的优化周期。

Xilinx强烈建议用户在设计PCB阶段就开始着手一个更合理的IO分配方案的设计,而不是随机设计。  这个过程包含了IO PlaningClock Planning两大部分。

参考:

参考1

参考2

PlanAhead Design and Analysis Tool

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值