【FPGA】学习笔记-IO引脚分配

博客搬家,原贴日期:2015-10-29

问题一:
Error (169029): Pin Key_1 is incompatible with I/O bank 6.  Pin uses I/O standard 2.5 V, which has a VCCIO  requirement incompatible with that bank's VCCIO setting or its other pins that use VCCIO 1.8V.
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值