【system verilog】孤陋寡闻了,原来覆盖率是可以对序列进行收集的

前言

做了两年多的数字前端验证,尽然直到今天才知道原来覆盖率是可以对序列进行收集的,也就是说我不仅可以在横向收集组合情况,还可以纵向收集时间维度上信息的改变情景。

参考

详细的内容可以参照“IEEE System Verilog standard 1800-2017” “19.5.2 Specifying bins for transitions”,我之前也在CSDN上上传过:

https://download.csdn.net/download/moon9999/12139311

举例

coverpoint收集序列时,主要使用到的连接符包括=>、*、=、->,其具体含义就参考之前的博客即可:

https://blog.csdn.net/moon9999/article/details/97982140

只不过在覆盖率收集时,是收集的信息(不一定是RTL信号)连续或跳转序列。

具体实例,直接放19.5.2章节中的中的示例就好了,写的很清楚:

 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值