数字前端的功能验证利器——SVA断言学习笔记

在我们辛苦搭建环境之余,不如先放松下学习一下非常流行并且历史悠久的断言技术(assertion),熟练掌握断言技术通过断言进行行为检查可以及时发现代码中的低级的bug。以下内容大部分参考了《SystemVerilog Assertions应用指南》,还有一些参考了各类帖子,尽量采用简单易懂的形式来说明。

这次我们只关注最常见的并发断言而不对即时断言进行探究了(下文断言皆指并发断言),我个人理解并发断言一般有验证来完成,即时断言一般由设计完成,二者之间具体的区别详见《指南》第9页,不再赘述。

下文中的所有波形均使用timing designer绘制,如需要软件可以发网盘链接,侵删。

断言储备知识

断言用来干嘛

那么我们先来明确一下断言到底是来干嘛的呢?简单而言就是检查某一行为与我们的预期是否相符,如果相符则断言成功否则断言失败。举个栗子,这个行为可以是:1)A信号有效后(由当拍算起)三拍内B信号值跳变为5,2)vld信号有效时

  • 71
    点赞
  • 355
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 28
    评论
评论 28
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值