linux下的EDA——VCS使用

在openSUSE 64位Linux系统中,使用VCS2012进行Verilog HDL的功能仿真有两种常见方法:脚本方式和命令行方式。脚本方式涉及创建pre_sim文件夹,编辑testbench文件以输出波形,然后运行vcs.scr脚本;命令行方式则包括在vcs_test文件夹中放置工程文件,直接在终端运行vcs命令并观察图形界面中的波形。
摘要由CSDN通过智能技术生成

所用Linux系统为openSUSE64位,软件为VCS2012

在Linux下对verilogHDL进行功能仿真时非常必要的,下面提供两种常见方式。

1.脚本方式

1)建立工作文件夹pre_sim,并将tb文件和所有.v文件复制进入此文件夹

mkdir pre_sim


2)修改testbench文件

为输出波形文件,在testbench文件中加入如下语句

initial begin
    $dumpfile("counter.vcd");  //待输出的波形文件名,可更改
    $dumpvars(0,u0);	//u0为testbench中实例化的顶层文件名称
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值