systemverilog内timeformat说明

语法介绍

$timeformat(units_number, precision_number, suffix_string, minimum_field_wdith);

    其中,“units_number”表示打印时间单位,范围为0~-15;它们对应单位如下表所示。默认值为`timescalse所设置的仿真timeunit。

    “precision_number” 是在打印时间值时,小数点后保留的位数。其默认值为0。
    “suffix_string” 是在时间值后面打印的一个后缀字符串。默认值为空字符串。
    “MinFieldWidth” 是时间值字符串与后缀字符的总长度的最小长度,若字符串不足这个长度,则在这部分字符串之前补空格。默认值为20。

实例说明

module time_test();
    initial begin
        $timeformat(-9,    //打印单位为ns
                    5,     //小数位宽为5
                    "ns", //后缀为ns
                    10);   //总字符串长度最小为10
    end
endmodule

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值