VCS自动连接NOVAS文件(SV enhance)

在2006版本以后的vcs(VCS-MX 2006.06.SP1-8)支持自动连接novas相关的PLI。然后通过vcs -fsdb 选项(或者 scs,simv,scsim)来链接novas。

带来的方便是,vcs运行时不需要再加上-P, +vcsd, -debug_all这些选项了。还有一个好处是对systemverilog的支持更加完美了。譬如支持logic变量在波形中的显示,支持systemverilog的testbech中的部分静态变量和端口的显示。

具体步骤如下:

Use the following steps to automatically link the FSDB dumper with VCS-MX2006.06.SP1-8 or later versions:
1.Set the system environment as follows to load the shared library file of the Novas object file with VCS.
SUN/Linux Platforms

 

而用verdi查看用VMM写的testbech可以通过以下选项来实现。一下是list.f的内

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值