VCS使用记录

一般公司都会搭建好仿真环境,用vcs进行仿真,verdi进行波形查看及代码debug。
在创建仿真环境时:写makefile 脚本进行仿真在这里插入图片描述
如上即makefile脚本。
备注:
每个执行命令,需要用tab键隔开,才会变成可执行命令。
运行只需键入make 即可运行,若运行特定的条件make sim/clean 即可。
为了规范化文件分类,目录层次如下
在这里插入图片描述

附上vcs常用仿真命令:
sim:
vcs -full64 +cli+2 +vcsd
-line -sverilog +vpi
-P ${NOVAS_HOME}/share/PLI/VCS/LINUX64/novas.tab \ //添加vcs仿真环境变量
${NOVAS_HOME}/share/PLI/VCS/LINUX64/pli.a
-f filelist.f
-R \ //编译完立即执行
-l sim.log +vcs+flush+log //添加仿真log日志

//===========================================================
最新:
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值