VCS使用总结

VCS总结

简单来讲VCS有两个步骤:编译和仿真;两个模式:optimized mode(设计后期,批处理,编译和运行上有优势)和debug mode(纠错常用)。

VCS自带的说明文档非常管用。在$(VCS_HOME)里面的doc文件夹下面。里面还有一些简单的示例,不止VSC_UG, Ucli,Coverage, UVM也都在里面。

这里记录一下我用过的各个命令和功能。给出几个简单的例子应该会更容易理解。

首先是如何实现最基本的编译和仿真:
vcs -full64 \
-sverilog \
+v2k \
-R \
-nc \
-debug_pp \
-LDFLAGS \
-rdynamic \
-P ${NOVAS_HOME}/share/PLI/VCS/LINUX64/novas.tab \
${NOVAS_HOME}/share/PLI/VCS/LINUX64/pli.a *.sv

这里逐一分析一下每个命令的功能。

full64: 需要查看$(PLATFORM),若运行平台为64位x86,加上这个选项;
sverilog: SV程序
R: 这里没有运行./simv就是因为加上了这个选项,意为编译后直接仿真。
nc: no copyright,不显示Synopsys版权信息
debug_pp: 专门用于debug模式的选项。另外还有debug(partial debug mode), bebug_all(full debug mode), debug_acess(加上特定的选项)等选项。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值