Chapter 4 -- SystemC From Gound 读书心得

1. systemC的入口是sc_main,而实质上是由用户不可见的main,调用sc_main(int argc,char* argv[])来实现的。systemC提供了sc_argc(), sc_argv()来读取argc和argv[]。 sc_main 包含三个阶段: elaboration,simulation,post-processing。 elaboration阶段完成module的连接以及process的注册。由sc_start()进入simulation阶段,由scheduler调度进程。sc_start()结束后进入post-processing阶段,此阶段对result进行处理并报告。而callback在某些点可插入执行代码。如end_of_elaboration(), start_of_simulation, end_of_simulation.

 

2. module

    声明如下:

        

   具体内容有:

         1)ports  2) channel instance 3) data instance 4) sub-designs 5) constructor 6) destructor 7) simulation process

         8) member functions

 

   modu

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值