round仲裁器(优先级相同)verilog


// 优先等级一样的仲裁器
// 4 个申请
// 思路:根据上一次的grant结果,循环查找
// 

module round_arbiter(
input clk,
input rst_n,
input [3:0] req,	// 4个申请
output reg [3:0] grant );	// 4个赋值

always@(posedge clk or negedge rst_n)
if(!rst_n)
	grant<=4'b0;
else case(grant)
	4'b0000: if(req[0]) grant<=4'b0001;
			else if(req[1]) grant<=4'b0010;
			else if(req[2]) grant<=4'b0100;
			else if(req[3]) grant<=4'b1000;
			else grant<=4'b0000;
	4'b0001: if(req[1]) grant<=4'b0010;
			else if(req[2]) grant<=4'b0100;
			else if(req[3]) grant<=4'b1000;
			else if(req[0]) grant<=4'b0001;
			else grant<=4'b0000;
	4'b0010: if(req[2]) grant<=4'b0100;
			else if(req[3]) grant<=4'b1000;
			else if(req[0]) grant<=4'b0001;
			else if (req[1]) grant<=4'b0010;
			else grant<=4'b0000;
	4'b0100: if(req[3]) grant<=4'
  • 2
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值