自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 收藏
  • 关注

原创 AD2S1210 解调电路及模拟SPI数据读写

需要注意的是软件部分全部是在配置模式下进行的,所以需要把A0,A1直接拉高,或者在写寄存器之前,将A0,A1的GPIO软件拉高。首先通过CubeMX将需要使用到的引脚、时钟以及串口配置好,根据自己的需要进行修改就行了,时钟使用的是72MHz。通过修改0x91寄存器,改变激励频率,10KHz的晶振默认输出12.2KHz左右,可以看到图片的左下角频率的改变。

2024-07-04 16:20:43 314

原创 高云Combat 18K----图像融合

高云FPGA----图像融合

2022-07-12 17:34:35 967 2

原创 备战秋招之数电知识查漏补缺

数电复习

2022-07-03 22:59:28 1365 1

原创 牛客网verilog刷题----基础语法

四选一多路器`timescale 1ns/1nsmodule mux4_1(input [1:0]d1,d2,d3,d0,input [1:0]sel,output [1:0]mux_out);//*************code***********//// wire d1,d2,d3,d0,sel;// wire mux_out; reg[1:0] mux_out1; always@(*)begin case(sel) 2'b00:beg

2022-06-26 21:36:01 337

原创 FPGA接口实现----增量式编码器

FPGA接口实现----增量式编码器前言一 增量式编码器原理介绍二 硬件实现三 测试平台四 总结前言实验室的Zynq项目中要求在PL端实现一个增量式编码器实时的数据检测。本次项目中其实有着两套编码器,其中电机后有一套编码器负责测量各个电机的速度;另外,每条腿上还外接了一组编码器负责位置信息的测量。电机内置的编码器通过伺服驱动器可以直接通过CAN总线得到数据;而外接的编码器则是A,B,Z三相输出需要在PL端构建合适的信号处理模块。一 增量式编码器原理介绍增量式编码器是一种将位移信息转换成周期性电信号,

2022-06-08 16:28:50 3966 6

原创 HDLBits刷题Day13

大Boss—Finite State Machines有穷状态机118. Simple FSM 1 (asynchronous reset)119.Simple FSM 1 (synchronous reset)120.Simple FSM 2 (asynchronous reset)121.Simple FSM 2 (synchronous reset)122.Simple state transitions 3123.Simple one-hot state transitions 3124.Simpl

2022-05-17 13:33:14 139

原创 《运动控制系统》-----王斌锐

运动控制系统前言一 绪论1.1 运动的基本概念与分类1.2 运动控制系统的组成二 运动机构分析2.1 运动副前言自动控制领域有过程控制和运动控制两大分支;过程控制针对离散的过程量;运动控制针对的是实时的连续的控制;目前的运动控制主要侧重点在于电机原理以及伺服驱动器控制,而现代完整的运动控制系统不仅仅是这些。运动控制系统的组成运动的起源----驱动器;实现的基础----结构机构;数学基础/理论力学----运动学和动力学建模;控制系统的组成----运动感知,控制算法,控制器硬件一 绪论

2022-01-08 19:54:24 4560 1

原创 《搭建你的数字积木 数字电路与逻辑设计》(2)

第二部分 常用逻辑设计模块串行通信接口控制器UART 异步收发传输器(Universal Asynchronous Receiver/Transmitter)PS/2协议SPI协议I2C协议RAM接口控制器串行通信接口控制器UART 异步收发传输器(Universal Asynchronous Receiver/Transmitter)主要功能分为三个模块,老生常谈的时钟模块,还有一个rx_uart和一个tx_uart模块,rx_uart负责接收来自外界的消息,并将其传给tx_uart模块,而tx_u

2021-12-20 19:25:08 611

原创 解决C# winform 导入外部控件遇到的问题

微软自带的控制过于单调,就从淘宝找了UI,导入的过程中出现了问题;下面是一些问题及其解决方法有Demo的直接导入Demo中的dll文件,这里需要注意的是 如果你的文件和dll文件在同一个文件夹下,可能会报错,什么找不到dll文件,这个时候就需要一出去;如果还是报错,可能是需要在资源管理器中添加引用找到相对应的文件导入;还是没有可以在项目中,刷新组件...

2021-11-19 21:16:40 716

原创 《搭建你的数字积木 数字电路与逻辑设计》(1)

第一部分 逻辑设计基础逻辑设计概述及vivado基础布尔代数和Verilog基础逻辑设计概述及vivado基础布尔代数和Verilog基础

2021-07-02 12:32:10 2456 12

原创 有限状态机 FSM——Finite State Machine

有限状态机1.状态机的结构2.Mealy状态机和Moore状态机3.用Verilog来描述可综合的状态机1.状态机的结构其中F和G是两个有关状态的函数,状态寄存器一般是采用正跳边沿触发的D触发器,n个触发器最多记住2^n个状态。2.Mealy状态机和Moore状态机上图是Mealy状态机,其输出不仅取决于当前状态还受输入的影响,Moore状态机的输出仅取决于当前状态。3.用Verilog来描述可综合的状态机二进制编码所需寄存器数量少,但是用的组合逻辑多;独热码占用寄存器数量多,组合逻辑少;

2021-06-25 14:11:23 545

原创 TestBench写法

TestBench简单TB的编写1.1 initial语句1.2 时钟,复位的写法1.2.1普通时钟信号1.2.2 占空比非50%的时钟信号1.2.3 固定数目的时钟信号1.2.4 相移始终信号1.2.5 异步复位信号1.2.6 同步复位信号简单TB的编写1.1 initial语句被动检测响应使用always语句,主动产生激励时使用initial语句,且initial语句只执行一次。1.2 时钟,复位的写法其中 # 的含义是推迟后面的语句;1.2.1普通时钟信号// 周期为10的信号

2021-06-22 11:12:33 842

原创 FPGA实验---数码管秒表显示实验

实验主要分为两大块:第一部分就是秒表的实现功能;第二部分就是数码管显示功能;在这之前由于我们外部有启动,暂停的按钮,为了防止按键亚稳态需要打两拍寄存;其中秒表显示部分主要实现是:外部时钟采用10MHz的时钟频率,秒表的最小单位为0.1秒,也就是每振动10^6下计数一次, 2^20=1 048 57, 用一个 reg[19:0] cnt_time来计数,cnt_time_en使能,cnt_time_cl清零,三个数码管显示器,最多从00.0计数到99.9,reg[9:0]data_tem负责每0.

2021-06-21 15:59:45 2968

原创 松下A6伺服驱动器通过modbus进行RS232通讯案例----失败案例

实验室因为需要,买了三台松下的电机和配套的A6伺服驱动器,老板自己焊的板子,还是上一个项目沿用下来的,只支持RS232和485,也没有用主流的PLC或者X4的接口。而是利用了ModBus来用RS232通信。再开始之前,因为之前有过MAXON直流电机的调试经验,我以为会很顺利,但结果却出人意料。首先是电源的接线,松下A6配套的电机是交流的220V的电机,直接接的家用的220V的插头,XA的接线:L1和L1C用线短接 ,L3和L2C短接,L1和L3接交流220V,其余的编码器,刹车线,动力线直接按说明文档

2021-06-08 09:34:38 6529 1

原创 FPGA实验---跑马灯实验

跑马灯实验逻辑设计模块设计1.light_flow_clk_rst2.light_flow_mytest3.light_flow_top4.light_flow_mytest.xdc逻辑设计共八个LED灯,每隔一秒亮一个。时钟为10MHz,0~9999999为1秒,表示到9999999需要2进制24位。8位LED灯用一个8位数组表示,24位数组来计数,依次点亮使用左移寄存器来实现;按键按下SW7开始工作,按下SW4停止工作,且寄存器不变。模块设计整体分为三个模块:light_flow_clk_r

2021-04-06 20:20:17 2274

原创 Xshell更改用户数据文件夹的方法

一开始安装Xshell,直接输入了一个不存在的文件夹,原以为他会直接创建,结果好像没有。后来发现Xshell上应该是创建了不过不在之前文件夹的子目录下;在图形界面上一直显示要关闭程序再试,百度了一下;先用终端cd到主文件夹下再进到现在保存的文件夹下,这个我找了好一会,Xtransport -move 就会跳出窗口;这期间要将Xshell关闭。...

2021-03-17 09:43:08 1047

原创 HDLBits刷题Day12

时序逻辑生成输出115.Rule90116.Rule110强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.115.Rule90module top_module( input clk, input load, input [511:0] data, output [511:0] q ); int i; always@(posedge clk) if(load) q<=data;

2021-03-16 16:32:30 169

原创 HDLBits刷题Day11

106 移位寄存器106.4-bit shift register强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.106.4-bit shift register

2021-03-12 14:52:59 292

原创 HDLBits刷题Day10

98~104 计数器 98.Four-bit binary counter99.Decade counter99.Decade counter again100.Slow decade counter101.Counter 1-12(没太看懂)102.Counter 1000103.4-digit decimal counter104.12-hour clock强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.98.Four-bit binary counteralways@(

2021-01-21 16:06:05 227

原创 HDLBits刷题Day09

80~触发器和锁存器80.D flip-flop (Dff)81.D flip-flops82.DFF with reset (Dff8r)83.DFF with reset value84.DFF with asynchronous reset85.DFF with byte enable86.D latch(D锁存器)87.DFF(异步)88.DFF(同步)89.DFF+gate90.Mux and DFF91.Mux and DFF92.DFFS and gates93.Create circuit

2021-01-18 14:42:43 294

原创 HDLBits刷题Day08

72~卡诺图72.3-variable Karnaugh map强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.72.3-variable Karnaugh mapmodule top_module( input a, input b, input c, output out ); assign out=b|(!b&c)|(a&!b);endmodule我的一开始化简出来,并不是最简的,module top

2021-01-15 15:27:30 239

原创 HDLBits刷题Day07

60~71 多路选择器/加法器60.Mux2to161.2-to-1 bus multiplexer (Mux2to1v)62.9-to-1 multiplexer (Mux9to1v)63.256-to-1 multiplexer (Mux256to1)64.256-to-1 4-bit multiplexer (Mux256to1v)65.Half adder(半加器)66.Full adder(全加器)67.3-bit binary adder68.Adder-4-bit 全加器69.Signed a

2021-01-14 17:28:04 274

原创 HDLBits刷题Day06

43~ 组合逻辑基本门电路43.Wire44.GND45.强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.43.Wiremodule top_module ( input in, output out); assign out=in;endmodule44.GNDmodule top_module ( output out);assign out=1'b0;endmodule45....

2021-01-13 14:03:58 147

原创 HDLBits刷题Day05

3636.Conditional ternary operator37 Reduction operator--按位(归约)运算符36.Conditional ternary operator其实也就是c语言中条件运算符module top_module ( input [7:0] a, b, c, d, output [7:0] min);// wire [7:0] result1,result2; assign result1 = (a<b)? a:b;

2021-01-12 13:06:26 187

原创 HDLBits刷题Day04

28~36 Always块,if else,case,casez选择语句28.Always blocks29.Always blocks230.Always_if31.Always if2--latch(锁存器)32 Always case33.Always case-priority encoder(优先编码器)34.priority encoder--caseZ35.Always nolatches强烈建议大家去看看HDLBits 中文导学,原文在知乎链接: link.28.Always bloc

2021-01-09 17:11:01 185

原创 HDLBits刷题Day03

20~20.Module pos21.Module name22.Three--Module23.Module and vector24.Adder125.Adder220.Module posmodule top_module ( input a, input b, input c, input d, output out1, output out2 ); mod_a inst1 (out1,out2,a,b,c,d); //和19题不

2021-01-08 21:25:33 180

原创 HDLBits刷题Day02

10~10. Vector011.Vector1--Vector in more detail12.Vector--Vector PartSelect13.Vectorgates--Bitwise operators14.Four-input gates15.Vector3--16.Vector--reverse10. Vector0数组类似C语言type [upper:lower] vector_namewire [99:0] my_vector; // Declare a 100-el

2021-01-08 15:09:13 205

原创 HDLBits刷题Day01

0~10Zero要求输出0;考察了Quartus中,不给变量赋值默认值为0;模块声明语句中不需要加分号;//赋0module top_module( output zero); assign zero=0; //或者assign zero=1'b0;endmoduleList item

2021-01-07 13:51:54 187

原创 编译原理复习

一 引论编译程序:将源程序翻译成目标程序源程序:多为高级语言,eg:c,c++;目标程序:汇编语言/机器语言。编译过程:词法分析——语法分析——语义分析——中间代码的生成——代码优化——目标代码的生成词法分析:从左自右读入源程序,识别出一个个的单词。语法分析:在词法分析的基础上将单词序列分解成语法短语。语义分析:审查源程序有无语义错误,收集类型信息。中间代码的生成:进行以上阶段分析之后的源程序叫做中间代码。中间代码的特点:1.易生成;2易翻译成目标代码。代码优化:优化中间代码。目标代

2020-05-10 16:40:38 1673 2

ESO-Based Adaptive Robust Force Control of Linear Electric Load

ESO-Based Adaptive Robust Force Control of Linear Electric Load

2024-04-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除