UVM usage--report/uvm_hdl_read/uvm_field

report mechanism

uvm_report_object.svh :: get_report_verbosity_level(uvm_severity severity=UVM_INFO, string id=""); -> call uvm_report_handler::get_verbosity_level(severity, id);
-> severity_id_verbosities(对应function::set_severity_id_verbosity) and id_verbosities(对应function::set_id_verbosity)
其中uvm_info 最终也是调用call 该function来判断是否输出的.
在simulation是会在run_root::new()中调用set_report_verbosity_level_hier(verbosity);
// 在uvm_test中可以直接使用factory
// factory.print()会打印出所有class 类型,非常多,不建议打开
function void llc_base_test::end_of_elaboration_phase(uvm_phase phase);
  super.end_of_elaboration_phase(phase);
  uvm_root::get().print_topology();
  //factory.print();
endfunction

uvm_field_*
当定义的member是一个关联数组,且可以是一个bit类型的,可以使用uvm_field_aa_int_key来实现
eg: bit[7:0]mem[bit[63:0]] mem,  -》 `uvm_field_aa_int_key(bit[63:0], mem, UVM_DEFAULT)

uvm_hdl_read

string signal = "top.dut.xxx";
logic   value;
assert(uvm_hdl_read(signal, value)) else `uvm_fatal()
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值