【数字IC验证】UART验证项目之测试用例


UART验证项目之测试激励

一、寄存器的读写权限以及复位权限检查

uvm中提供了两个seq用于完成这个测试,可以直接调用,他的原理是比较testbench中的寄存器模型的默认值和dut中写的默认值是否一致

class reg_vseq extends base_vseq;
    `uvm_object_utils(reg_vseq)
    //uvm中自带的sequence
	uvm_reg_hw_reset_seq rst_seq;//寄存器复位检查
    uvm_reg_bit_bash_seq bitbash_seq;//寄存器读写权限检查
	
    function new(string name="reg_vseq");
        super.new(name);
    endfunction
	
    virtual task body();
		#10us;
		//例化后把我们的寄存器模型变量赋值给seq中自带的model变量即可
		rst_seq =new("rst_seq");
        rst_seq.model = p_sequencer.p_rm;
        rst_seq.start(null);
        
		bitbash_seq = new("bitbash_seq");
        bitbash_seq.model = p_sequencer.p_rm;
        bitbash_seq.start(null);
	endtask
endclass

class tc_uart_reg extends tc_base;
    `uvm_component_utils(tc_uart_reg)
	function new(string name="tc_uart_reg",uvm_component parent);
        super.new(name,parent);
	endfunction

    virtual function void build_phase(uvm_phase phase);
        super.build_phase(phase);
        //这里采用default_sequence的方式启动sequence,和下面run_phase中的start二选一,实际上对于一些简单的激励,可以用default_sequence来发送,但应该尽量摒弃这种方式
		uvm_config_db#(uvm_object_wrapper)::set(this,"v_sqr.main_phase","default_sequence",reg_vseq::type_id::get());
        //跳过以下四个寄存器的检查(可选)
        uvm_resource_db#(bit)::set({
   "REG::",rm.get_full_name(), ".UARTDR"},  "NO_REG_TESTS", 1, this);
        uvm_resource_db#(bit)::set({
   "REG::",rm.get_full_name(), ".UARTRSR"}
  • 13
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
当进行UART(Universal Asynchronous Receiver-Transmitter)功能测试时,可以考虑以下测试用例: 1. 数据传输测试测试通过UART发送和接收数据,验证系统是否能够正确传输数据。 2. 波特率测试测试不同波特率下的数据传输,包括低速和高速情况,以确保系统能够准确传输和解析不同波特率的数据。 3. 数据格式测试测试不同数据格式下的数据传输,包括数据位数、校验位和停止位等参数的变化,以验证系统是否能够正确处理不同格式的数据。 4. 流控制测试测试使用硬件流控制或软件流控制方式进行数据传输,验证系统是否能够准确控制数据流的流动。 5. 错误检测测试测试发送带有错误的数据(如校验错误或数据位错误),验证系统是否能够正确检测并处理错误的数据。 6. 中断处理测试测试在接收到数据或发送完成时触发中断,并验证系统是否能够及时响应和处理中断请求。 7. 数据传输稳定性测试测试在长时间、高频率的数据传输情况下,系统是否能够稳定地传输和接收数据。 8. 多通道测试测试同时使用多个UART通道进行数据传输,验证系统是否能够准确处理多个通道的数据。 9. 数据回环测试测试将发送的数据通过回环连接返回,验证系统是否能够正确接收和解析回环数据。 10. 异常情况测试测试在异常情况下(如噪声干扰或线路故障)的数据传输,验证系统是否能够正确处理和恢复。 以上是一些常见的UART功能测试用例,可以根据具体需求和系统特性进行进一步扩展和定制。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值