System Verilog Arrays (数组)

1. Packed Arrays

        在SV中有两种数组类型,一类是packed arrays,另一类是unpacked arrays。packed arrays 的维度定义在变量名之前,unpacked arrays 的维度定义在变量名之后。一维的packed arrays 也叫做vector。

        bit [7:0] c1;                  // packed arrays  或者 vector

        logic  [3:0] [7:0] c2 ;    //  packed arrays     

        int u1 [8] 等价于 int u1 [0:7]; // unpacked array

        int u2 [0:7] [0:3]   等价于  int u2 [8] [4]     //unpacked array 

`timescale 1ns/1ps

// test module
module tb;

	bit [7:0] c1;
	
	initial begin
		c1 = 8'hf0;
		$display("c1=%b\n",c1);	
	end
	
	initial begin
		for(int i = 0 ; i <= $size(c1) ; i++) 
			$display("c1[%0d] = %b",i,c1[i]);
	end
	
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值