UVM 实战 2.3.2 & 2.3.3 my_driver & my_monitor代码对比

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

UVM 实战
代码清单2-24 my_driver.sv
代码清单2-29 my_monitor.sv
代码对比


一、UVM 实战 my_driver.sv VS my_monitor.sv (Part 1)

在这里插入图片描述
前面28行,就是name的差别;
main_phase的差别:
–29行:for 循环 2次;
–31行:内嵌式的随机约束一个具有注脚的文本。1


二、UVM 实战 my_driver.sv VS my_monitor.sv (Part 2)

在这里插入图片描述

左边driver: push,data_q.push_back(): 在队列末尾插入,将tr中所有的数据压入队列
右边monitor: pop,data_q.pop_front(): 在队列前面弹出。2

dmac, smac, ether_type, crc等都在my_transaction.sv中定义:

`ifndef MY_TRANSACTION__SV
`define MY_TRANSACTION__SV

class my_transaction extends uvm_sequence_item;

   rand bit[47:0] dmac;
   rand bit[47:0] smac;
   rand bit[15:0] ether_type;
   rand byte      pload[];
   rand bit[31:0] crc;

字节打包过程,对tr.dmac, tr.smac, tr.ether_type和tr.crc,都是低位字节先入队列(对payload的处理书上没有写,假设保留原字节序吧)3


  1. 内嵌约束,绿宝书(SystemVerilog验证, 测试平台编写指南),6.8,P151; ↩︎

  2. 队列的操作,绿宝书(SystemVerilog验证, 测试平台编写指南),2.4 ,P29; ↩︎

  3. [SystemVerilog]流操作小练习 - 碧石85年的文章 - 知乎 https://zhuanlan.zhihu.com/p/59802457。 ↩︎

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值