UVM:10.1.1 interface 实现driver 的部分功能

1.之前的interface 非常简单:


2.实际的interface 可以定义任务与函数,还可以always 和initial。


3.8bits 到10bits 在driver 中完成,将串行数据驱动到接口上:



4.在interface 做:



5.相应的,driver 中可以只驱动到interface 的并行接口上即可:



6.除了在interface 中always,也可以assign:



7.还可以例化其它interface,8b10b 是一个比较独立的功能,可以放在另一个interface 中:


1)只是名字叫interface,作用和function 没

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值