VHDL的数据类型

一、标量

1、整型
整型类型定义了一种在特定整数范围内取值的类型,包括正整数、负整数和零。VHDL标准库提供了一个预定义整型数据类型-integer。integer取值范围是-214748647~214748647,也就是32位的二进制数。在实际设计中,综合工具会将没有越苏的整型看成32位无符号数处理,仿真中可使用符号数。
整型数字的表示:

十进制数:
1000012
0
-12
12_102_125--------下划线不影响值得大小,只增加数值的可能性。
其他进制的数:
16#D12-------相当于10进制的16x16x14+16x1+12=3346
8#23 -------相当于10进制的8x2+3=19

自然数和正整数
正整数是大于0的整数;自然数是非负的整数,包括0和正整数。
自然数和正整数都是整数的子类型
整数数据对象可以进行操作有加减乘除等算术运算,定义一个整型的信号需要32位,但实际上不需要这么大的数,在定义整型信号时要加上一个范围约束。整型数据对象声明举例如下:

constant data_bus:integer:=16;
signal temp:integer;
variable sum:integer range 0 to 15;

2、实型
实型数据是数学上实数的仿真建模,分为整数值和分数值,分数值即浮点数。实数的取值范围是-1.0E38~1.0E38,通常情况下VHDL综合实型数只能在VHDL仿真中使用,

  • 3
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值