VHDL的操作符

本文详细介绍了VHDL中的各种操作符,包括赋值操作符(如<=, >=)、逻辑操作符(如not, and, or)、算术操作符(如+, -, *, /)、关系操作符(如=, /=, <, >, <=, >=)、移位操作符(如sll, srl, sla, sra, rol, ror)以及连接操作符(&)。此外,还讨论了操作符的优先级和重载的概念。" 86755626,7403088,理解LVS+Nginx集群:从Tomcat并发到高可用架构,"['LVS', 'Nginx', '集群', '负载均衡', 'JavaEE']
摘要由CSDN通过智能技术生成

一、赋值操作符

作用在于更新被赋值数据对象的值,数据对象主要是指信号和变量。VHDL赋值操作符也有信号赋值与变量赋值的区别,分别是:

  • ”<="是信号赋值操作符,可以对标量型的信号类型对象或是矢量型信号类型对象整体赋值。
  • ”<=“是变量赋值操作符,可以对变量、常量、属性(generic)和信号初始值的赋值或子程序对变量进行赋值。
  • ”>=“是矢量型的数据对象的部分进行赋值。

以上赋值举例如下:

**--属性赋值操作**
generic(data_bus:integer:=8);

**--常量赋值操作**
constant address_bus:integer range 1 to 7:=7;

**--信号初始化赋值操作**
signal datasum:std_logic_vector(7 downto 0):="00000000";

**--变量初始化赋值操作**
variable temp:integer range 0 to 63:=0;
variable seg:std_logic_vector(7 downto 0);

**--信号赋值操作**
datasum<="11000011";

**--变量赋值操作**
temp:=23;

**--信号部分赋值操作**
signal datasum:std_logic_vector(7downto 0);
datasum<=(7=>'0',6=>'1',others=>='0');

**--变量部分赋值操作**
variable datasum:std_logic_vector(7 downto 0);
datatemp:=(7 =
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值