system verilog中module和program的区别

首先module和program之间有很多相似之处:

1.和module相同,program也可以定义0个或多个输入、输出、双向端口。


2.一个program块内部可以包含0个或多个initial块、generate块、specparam语句、

连续赋值语句、并发断言、timeunit声明。


3.在program块中数据类型、数据声明、函数和任务的定义均与module块类似。


4.一个设计中可以包含多个program块,这些program块既可以通过端口交互,也可以相互独立,

这一点与module块也是相似的。

 

但是module和program之间也有很多不同之处:

1.一个program块内部不能包含任何其他的always块、用户自定义原语( UDP)、

module块、接口(interface)、或者program块,


2.一个module块中可以定义program块,但一个program块中却不能定义module块


3.一个program块可以调用其他module块或者program块中定义的函数或任务,

但是一个module块却不能调用其他program块中定义的任务或函数。

  • 1
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值