#systemverilog# 关键字之 program

为避免仿真和设计竞争问题(race condition),systemverilog 中引入了program的概念。所有与设计相关的线程,在module 中执行,而与验证相关的线程在program 中执行。在仿真过程中,这两种线程运行在不同的时间步(time step),从而解决了竞争问题。

一  概览

关键字 program ,是在 systermverilog 中才引入的。通常,module 是Verilog世界中的基本构建块。module 中可以包含其他模块的层次结构module 、wire、任务task和function声明,以及过程语句 always 或者 initial  。这个结构对于描述硬件非常有效。然而,对于测试台来说,重点不在硬件级别的细节上面,而重点在于能够对验证设计的完整环境快速并正确建模。为了使环境正确地初始化和同步化,避免设计和测试工作台之间的竞争,自动化输入激励的快速生成,以及重用现有模型和其他基础设施的时候,我们常常花费大量精力。

Program 的引入,三个主要目的:

(1)提供一个testbench 入口

(2)提供了一个空间范围,来填充program-wide 数据;

(3)在Reactive region 中明确指定执行rule

program 作为设计和测试工作台之间的明确分隔符,更重要的是,它在Reactive区域中为程序中声明的所有元素指定了专门的执行语义。与时钟块一起,program 提供了设计和测试平台之间的无竞争方式交互,并支持周期和事务

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
SystemVerilog是一种硬件描述语言,它在Verilog的基础上进行了扩展和增强。在SystemVerilog中,有几个与编译顺序相关的问题需要注意。 首先,SystemVerilog中的编译顺序是从上到下的,也就是说,先编译的代码会先执行。这意味着在程序中定义的变量和模块需要在使用之前进行声明和定义。 其次,SystemVerilog中的作用域规则是按照层次结构进行的。当使用一个变量名时,SystemVerilog会先在当前作用域内寻找,然后在上一级作用域内寻找,直到找到该变量为止。这也适用于类的作用域。如果在类的底层作用域中想明确引用类级别的对象,可以使用关键字"this"来指明。 此外,在SystemVerilog中,可以在program中使用initial块来执行一些初始化操作,但不能使用always块。如果确实需要一个always块,可以使用"initial forever"来实现相同的功能。 综上所述,SystemVerilog中的编译顺序是从上到下的,作用域规则按照层次结构进行,可以使用关键字"this"来明确引用类级别的对象,可以使用initial块来执行初始化操作。 #### 引用[.reference_title] - *1* [SystemVerilog学习整理——数据类型](https://blog.csdn.net/lizhao_yang_/article/details/121641415)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SystemVerilog总结](https://blog.csdn.net/houshidai/article/details/124989265)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值