Quartus报错

quartus版本: Quartus Prime Standard Edition 18.1

  1. Error (15465): WYSIWYG primitive “XXXTop:XXXTop_inst|adc_dac_top:adc_dac_inst|ad_fifo:ad_fifo_ADC_I|ad_fifo_fifo_181_hbp6cpq:fifo_0|dcfifo:dcfifo_component|dcfifo_ih62:auto_generated|altsyncram_cuc1:fifo_ram|ram_block5a6” has clk0 port that must be connected
    这是一个异步FIFO,给ad的,从仿真文件新建工程的时候报的这个错。看例化的接口没有问题,但一直往上找,找到顶层的时候发现写时钟直接赋值0。

经验:与RAM相关的WYSIWYG primitive错误或者是警告,则是RAM的输入端信号不通导致。https://www.cnblogs.com/sunev/archive/2012/05/28/2521655.html

  1. 在升级IP核,如14.1升18.1,更换器件,如从Stratix 到Arria 时,报错,打开的tdf文件中显示的器件还是原来的器件,即使是重新生成IP也不能解决时,删掉工程文件夹下的db和incremental_db文件夹。
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
WIN11 QUARTUS报错可能有多种原因。根据引用\[1\],如果你使用的是高于13.1版本的Quartus,那么可能会出现"modelsim executable not found"的错误。这是因为高版本的Quartus不再提供这种仿真程序,而是需要通过编程实现图形仿真。如果你使用的是13.1版本的Quartus,那么这个错误可能是因为安装时选择的位置不是预设文件夹,导致软件无法找到相应的文件。 另外,根据引用\[2\],在引入元器件时,如果Quartus将所有元件命名为"inst",会导致元器件命名重复,无法正常运行。解决方法是手动双击元件名处,给它们输入不同的名字,这样软件就可以正常编译。需要注意的是,在检查名字时,除了input/output和导线外,所有的器件都有可能出现命名错误。 此外,根据引用\[3\],如果出现"Logic function of type GND and instance 'inst' is already defined as a signal name or another logic function"的仿真报错,可能是因为接地器件(GND)的命名重复了。你可以根据报错指出的器件进行查找和修改。 综上所述,WIN11 QUARTUS报错可能是因为使用了高版本的Quartus,安装位置不正确,元器件命名重复或接地器件命名重复等原因。你可以根据具体的错误信息和引用内容中提供的解决方法来解决这些问题。 #### 引用[.reference_title] - *1* *2* *3* [quartus 13.1常见报错解决方法](https://blog.csdn.net/weixin_45810064/article/details/117754811)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值