quartus和modelsim之间的大坑

18 篇文章 6 订阅

quartus和modelsim之间的大坑

唉,人生何其多坑


因为解决bug的时候没有截图,所以合并了一些忘记的,大家对号入座吧

BUG1

  1. The ModelSim - Intel FPGA software comes packaged with precompiled simulation libraries. Do not compile simulation libraries if you are using the ModelSim - Intel FPGA software.

BUG2

  1. Cannot launch the ModelSim software because you did not specify the path to the executables of the ModelSim software.

BUG3

  1. Nativelink TCL script failed with errorInfo: Invalid user compiled directory

这是因为我们要用的是modelsim-intel,而不是modelsim.所以这个bug会在两个地方出现:

  1. 错误设置编译器为modelsim,解决方法:
    导航栏/assignment/setting/EDA Tool Setting
    bug1_1
    顺道看看下面的simulation的路径有没有错误就好了
  2. 错误设置引导栏/tools/launch simulation library compiler,解决方法
    第一步:不管怎样,先用modelsim的正确路径填入executable location
    第二步:一定要修改output directory 为正确合法路径(别问我为什么知道,哭)
    第三步:Start Compilation ,虽然还是会报错,但是output directory保存下来就可以了
    第四步:正常rtl simulation就可以用了.
    bug1_2

BUG4

以下为modelsim

Error: (vsim-3033) /home/heweibao/project_fpga/altera/ip/add.v(91): Instantiation of ‘altmult_add’ failed. The design unit was not found.

这是因为没有加库,可以在:simulate->start simulation
design选自己要仿真的,然后点libraries,选自己用过的ipcore
bug4

BUG5

Error (suppressible): (vsim-10000) /home/heweibao/project_fpga/altera/ip/add.v(154): Unresolved defparam reference to ‘ALTMULT_ADD_component’ in ALTMULT_ADD_component.input_aclr_a0./# Time: 0 ps Iteration: 0 Instance: /helloworld/U1 File: /home/heweibao/project_fpga/altera/ip/add.v

  1. 这是个令人苦笑不得的bug…因为添加库的时候加错了,直接加的是VHDL语言的,只有后缀是****_ver的才是verilog的库.

提醒

lpm的库在220model上面…

美化

在没美化之前,我的界面是这样的:
美化前

黑色是源自我的ubuntu18的主题,而编辑器是在内部配置的:tools->option->text editor->colors

yoyo

这是改过之后的界面:

yoyo2

当然了,你也可以直接把这个text editor改成gedit啊什么乱七八糟的,我就不折腾了

如果你想请我吃个南五的话

  • 12
    点赞
  • 63
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 10
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小何的芯像石头

谢谢你嘞,建议用用我的链接

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值