自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(38)
  • 收藏
  • 关注

原创 关于Excel表格隔行取列的方法

如果是奇数列的话是(COLUMN(A1)*2)-1;在选定区域选择偶数列的数据;COLUMN(B1)返回2;COLUMN(A2)返回1;

2024-08-01 10:23:33 213

原创 SVN小乌龟没有绿勾解决方法及使用记录

①在Tortoise1Normal前面放空格,保证Tortoise1Normal前面的空格是ShellIconOverlayIdentifiers中最多的;将空格改为,然后继续用上述步骤试一下;本人试了这种方法是有效的,改为空格无效,可能与我安装了其他软件有关!②然后重启查看链接文件夹是否有绿色的勾;在链接文件夹中右击鼠标。

2024-07-09 13:35:10 334

原创 使用SPY3进行XCP标定

在固定路径中,将A2L更新内容更新到"D:/XXX/CYT2B_A2L_Base.a2l"中,更新A2L的时候,将SPY3工程关闭,更新完A2L后再打开SPY3工程,这样“Value characteristic Editor”中的变量会仍然在,且地址会更新;将A2L放到固定的路径中,名称固定,如"D:/XXX/CYT2B_A2L_Base.a2l";双击想要观测的变量,变量会在“Value characteristic Editor”中;勾选“Auto reload on open”;

2024-06-28 09:24:45 213

原创 CANape使用问题记录

polling方式是上位机给单片机发送需要测量变量的地址,然后单片机给上位机回应改地址所存储的值,即一发一收的模式;改为polling, 1000,即采用轮训的方法1000ms更新一次数据;添加新变量后,修改变量测量配置;

2024-06-17 14:51:00 297

原创 关于Latitude5490的问题Bios引导问题

老板描述换了好多零件都没好,就换了个主板,换成Latitude 5490主板,但是原来的硬盘放上去不识别,主动问我要不要帮我重装系统,本人拒绝,想着应该是Bios引导的问题,就想回来自己捣鼓;本人原本电脑型号为Latitude 5480,电脑硬盘为M.2接口(NVMe协议)的SAMSUNG 970 EVO Plus,因为接上电源适配器,不充电,所以拆开后盖,发现电池鼓包,所以送修(非官方);WIN+R,输入msconfig确定,点击"引导"选项卡,删掉多余的引导,这样就可以顺利进入老系统,可以重启试一下。

2024-06-09 12:09:04 995

原创 关于Windows11便笺需要更新但当前无法应用更新的问题

有可能会提示需要需要microsoft.net.native.runtime.1.4,可以网上下载,也可以留言,然后发你;打开便签,显示便笺需要更新但当前无法应用更新的问题,应用无法打开;卸载后,在Microsoft store中下载,显示无法安装;

2024-06-04 09:46:57 1435

原创 断开自定义模块与自定义库的链接

如果摸个库文件添加到模型中,无法“Disable Link”时,可以使用save_system命令进行断开到模型中用户定义的库模块的链接;使用名称 myModel2 保存模型。同时保存模型工作区,断开到模型中用户定义的库模块的链接,如果文件在磁盘上发生更改,还会进行覆盖。这样,在myModel2中,该自定义模块就与自定义库断开了链接;

2024-05-29 21:51:37 526

原创 S32K的JLINK与PE接线方法与刷程序失败问题

考虑RESET引脚没接,或RESET引脚电平不对(应该为高电平);

2024-04-25 22:21:26 365

转载 CAN报文多帧,首帧,流控帧,连续帧

数据发送为单帧,所以06代表发送的数据中含有6个字节,回复为正反馈,为连续帧。10 代表连续帧的首帧,1E代表此连续帧含有30个字节,30代表此连续帧的流控制帧,21,22,23,24代表连续帧中的第几帧,21代表第一帧,22代表第二帧,依此类推,其中AA为填充位。Byte2 : BlockSize(BS), 表示上位机收到流控帧后,可发送的连续帧数量。Byte0[3:0]+Byte1[7:0] : 合起来表示数据长度,最大为4095,其余字节为数据段。Byte1[7:4] : 固定为3,表示流控帧。

2023-10-12 14:49:53 3080

原创 C++中将十六进制数转化为字符串数据

【代码】C++中将十六进制数转化为字符串数据。

2023-10-11 17:53:24 3572

原创 在IAR中新建S32k工程

如果在PE中新更改了配置,重新生成了代码,需要重新导出ProjectInfo.xml,然后在IAR中进行重新导入;剩下来的就可以在IAR工程中进行编辑了;

2023-09-01 21:00:00 742

原创 单片机开发环境中添加库文件

那么这里需要填入的内容如下。

2023-07-18 18:30:00 325

原创 Matlab GUI设置左上角图标

【代码】Matlab GUI设置左上角图标。

2023-06-28 17:14:17 901 1

原创 ST官方FOC

π用32768表示,因为32767表示π,所以-π=(32767|0x8000u)q1.15 format,即最高位表示正负,低15位表示角度;0-32767表示0-π;

2023-05-28 16:06:57 907

原创 单片机中的数据类型

单片机中的强制转换会将该值与0xFFu进行按位与;单片机中的强制转换与。

2023-05-28 13:42:25 315

原创 为S32 Design Studio for S32 Platform 3.5安装S32K1系列的RTD

S32 Design Studio for S32 Platform 3.5 自动安装界面没有S32K1系列的RTD驱动,而S32 Design Studio for S32 Platform 3.4的自动安装界面则有S32K1系列的RTD驱动;将上一步的链接复制进上面,进行安装;

2023-04-26 11:27:46 946

原创 二级指针的一种使用

二级指针的一种使用

2023-03-24 10:02:12 70

原创 UDS服务 0x36服务TransferData相关

UDS 36服务

2023-03-18 17:23:46 1230

原创 [Simulink]Matlab模型的AUTOSAR相关设置

autosar application

2022-12-13 13:51:49 1101

原创 【类模板】关于C++模板函数声明与定义分开的问题

目录C++模板函数声明与定义分开1.头文件声明2.类的定义3.调用4.CMakeLists.txt编写5.结果C++模板函数声明与定义分开1.头文件声明//file name: T_template.h#pragma once#include <iostream>#include <string>using namespace std;template<class T1, class T2>class T_flower{public:

2022-02-20 13:37:05 929

原创 将workspace中的数据导入数据字典(sldd)中

目录一、新建变量二、导入数据字典(sldd)中一、新建变量新建变量的脚本示意:yGlTmHpc_B_HmPmpDyRnPerm = xxx_Pkg.Signal;yGlTmHpc_B_HmPmpDyRnPerm.CoderInfo.StorageClass = 'Custom';yGlTmHpc_B_HmPmpDyRnPerm.CoderInfo.Alias = '';yGlTmHpc_B_HmPmpDyRnPerm.CoderInfo.Alignment = -1;yGlTmHpc_B_Hm

2021-09-09 13:24:36 1734

原创 [Simulink] 代码生成的模型配置的相关内容_报错提示

模型编译报错提示一、未连接线的报错类型一、未连接线的报错类型作用:可以防止一些未连接的线引起的未知错误,编译时给出错误提示,及时修正潜在问题。

2021-08-20 13:29:56 496

原创 Matlab中全局变量与枚举类型的配合使用

目录一、新建枚举类型二、模型示例三、生成的代码示例一、新建枚举类型形如:// An highlighted blocktypedef enum{ NM_AllCh = 0, /* Default value */ NM_LinCh, NM_VehicleCanCh, NM_InternalCanCh, NM_ChMax}NetWokM_Type;在matlab中新建的方法:脚本新建法:新建脚本键入如下代码:class

2021-07-29 10:49:27 717

原创 python批量重命名文件的后缀名

目录一、涉及语法1、正则表达式2、文件重命名二、代码一、涉及语法1、正则表达式2、文件重命名二、代码import osimport re# 1: m to h# 2: m to c# 3: h to m# 4: c to ma_Path = "D:/xxx/0_Desktop/xxx/PatckgeTest_demo - h"a_Type = 3if(1 == a_Type): a_name = '.m' b_name = '.h'elif(2 == a_Ty

2021-07-15 14:37:11 180

原创 在simulink生成代码时生成有关时间的全局变量

目录一、代码示例二、建模示例三、生成代码示例四、解析代码示例一、代码示例str2double(datestr(now,'yyyy'))%yearstr2double(datestr(now,'mm'))%mouthstr2double(datestr(now,'dd'))%daystr2double(datestr(now,'HH'))%hourstr2double(datestr(now,'MM'))%minutestr2double(datestr(now,'SS'))%second

2021-06-22 14:54:18 1886

原创 Mtalab的GUI设置的简单示例

目录一、GUI示意图1、作用2、注意点二、代码一、GUI示意图1、作用可以根据解锁等级来计算相应的key2、注意点1)解锁等级的互斥2)未选解锁等级时的弹窗提醒3)输入key的不合法报错(try, catch, retun结合使用)二、代码classdef MainGui < matlab.apps.AppBase % Properties that correspond to app components properties (Access = public)

2021-06-17 13:55:02 537

原创 STM32CubeMX生成CAN相关程序二(发送中断与接收中断)

目录一级目录二级目录三级目录一、使用simulink对can信号进行拆包解析二、使用simulink对信号进行打包发现can一级目录二级目录三级目录一、使用simulink对can信号进行拆包解析/*__weak */void HAL_CAN_RxFifo0MsgPendingCallback(CAN_HandleTypeDef *hcan){ // CAN数据接收 uint8_t ind; if (hcan->Instance == hcan1.Instance) { if

2021-06-06 18:04:49 1502 3

原创 matlab脚本语言对文件进行批量重命名及生成随机数

一、批量重命名脚本function FileChange_Cha(a_Path, a_Suffix, a_newName)%('D:\hbpan\1_Projects\1_GE11-A1\6_Script\demoCopy','.h','dserss') Suffix_fix = strcat('*',a_Suffix); newName_fix = strcat(a_Path, '\'); fileFolder=fullfile(a_Path); dirOu

2021-06-04 17:02:41 722

原创 STM32CubeMX生成CAN相关程序一(发送中断与接收中断)

目录一、CubeMX配置1、时钟设置2、CAN1设置1)引脚修改2)中断使能3)波特率计算3、SYS设置4、GPIO设置5、软件包版本二、手动添加代码1、添加屏蔽器设置代码2、添加CAN发送代码3、添加CAN代码的初始化函数4、添加CAN接收回调函数与发送回调函数一、CubeMX配置1、时钟设置最高频率:216MHzAPB1:54MHz2、CAN1设置1)引脚修改STM32F767IGT默认使用PI9作为接收引脚,为好了使用开发板做实验,手动将接收引脚改为PA112)中断使能3)

2021-05-22 17:15:12 7863

原创 [Simulink] 代码生成的模型配置的相关内容

这里写目录标题一级目录二级目录三级目录一、模型配置的导出与导入1、模型配置的导出2、模型配置的导入一级目录二级目录三级目录一、模型配置的导出与导入1、模型配置的导出假设你想要保存模型配置的simulink名称为“pre_set.slx”open('pre_set');% 打开模型,用于获取模型句柄currentModelSet = getActiveConfigSet('pre_set')% 获取模型配置集saveAs(currentModelSet,'MyConfig')% 储存当前

2021-05-21 09:52:13 829

原创 matlab脚本语言对文件进行操作实例一

目录一、matlab脚本说明1)作用2)涉及问题二、FileCopy_Fun函数说明1)后缀名检查2)字符串拼接(路径组合)3)文件逐行读取4)注三、FileChange_Fun函数说明1)cell合并一、matlab脚本说明1)作用1、对文件进行复制并重命名2、对重命名后的文件进行逐行读取并写入新的文件中2)涉及问题1、matlab脚本文件添加报错代码2、matlab脚本文件对文件进行重命名3、matlab脚本文件正则表达式4、matlab脚本在字符串中指定位置添加字符5、matlab

2021-05-18 14:58:39 759

原创 脚本压缩与解压缩实例

目录一、Matlab语言1、压缩1)压缩成xxx.zip格式2、解压1)解压xxx.zip文件一、Matlab语言1、压缩1)压缩成xxx.zip格式/*myfiles.zip表示压缩后的文件名称03.29_2表示想要压缩的文件夹名称zippedfiles表示返回值(cell array),不用理会*/zippedfiles = zip('myfiles.zip','03.29_2');2、解压1)解压xxx.zip文件/*myfiles.zip表示想要解压的文件haha表示将

2021-05-12 10:03:27 1255

原创 STM32CubeMX生成串口USART相关程序

目录一、时钟的配置二、USART的配置1、引脚配置2、中断及其他配置三、LED的配置(GPIO)四、工程的配置五、代码一、时钟的配置二、USART的配置1、引脚配置2、中断及其他配置三、LED的配置(GPIO)四、工程的配置五、代码生成的串口中断代码如下:void USART1_IRQHandler(void){ /* USER CODE BEGIN USART1_IRQn 0 */ /* USER CODE END USART1_IRQn 0 */ HAL_

2021-05-05 10:20:18 539

原创 STM32外部中断的简单实现及底层与应用层简单的交互实例

目录一、外部中断的实现1)GPIO初始化设置2)外部中断函数设计二、Led的驱动函数1)GPIO初始化设置2)Led2的底层接口三、底层与应用层的交互1)简单的应用层需求2)在Mtalab中对应用层逻辑进行设计一、外部中断的实现1)GPIO初始化设置// An highlighted block#define KEY1_RCC_CLK_ENABLE __HAL_RCC_GPIOA_CLK_ENABLE#define KEY1_GPIO_PIN G

2021-04-17 12:30:49 985

原创 STM32系统滴答定时器实现简单的时间基准

STM32系统滴答定时器实现简单的时间基准1、配置系统时钟2、进行系统滴答定时器的中断函数添加1)在启动文件中,有关于中断向量表的定义2)在工程中全局搜索,寻找HAL库中SysTick_Handler的回调函数3)编写中断回调函数目录1、配置系统时钟2、进行系统滴答定时器的中断函数添加1)在启动文件中,有关于中断向量表的定义2)在工程中全局搜索,寻找HAL库中SysTick_Handler的回调函数3)编写中断回调函数1、配置系统时钟// An highlighted blockvoid Syste

2021-04-13 21:28:22 1273 1

原创 Matlab Stateflow中枚举(enmu)的使用

目录1、新建枚举类型2、新建变量3、简单功能使用示例4、生成的代码示例1、新建枚举类型形如:// An highlighted blocktypedef enum{ NM_AllCh = 0, /* Default value */ NM_LinCh, NM_VehicleCanCh, NM_InternalCanCh, NM_ChMax}NetWokM_Type;在matlab中新建的方法:方法一,脚本新建:新建脚本

2020-11-10 10:45:30 5423 1

原创 Matlab Stateflow中结构体(struct)的使用

Matlab Stateflow中结构体的使用1、新建结构体类型形如:// An highlighted blocktypedef struct{ uint8_T Gain; int16_T Offset; uint16_T Init;}myParamsType;脚本实现方法:// An highlighted blockmyParamsType = Simulink.Bus;myParamsType.Description = '';myParamsType.Data

2020-11-09 11:34:45 2410

原创 Simulink转化为低版本文件

Simulink转化为低版本文件1、XXX.slx文件转化为低版本的xxx.slx(例如2015b版本)时,转化完成后经常打不开2、可以先将改文件转化成低版本的mdl文件后再用低版本的mdl文件转化为slx文件有两种方法:①高版本slx直接转化为低版本mdl,然后低版本mdl转成低版本slx,如该方法行不通,直接使用以下方法②高版本slx直接转化为高版本mdl,然后高版本mdl转成低版本mdl,之后将低版本mdl转成低版本slx3、如果在转化为低版本mdl文件时,出现提示什么GBK错误,使用如下

2020-09-15 15:41:07 7626

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除