Makefile

前言

导航页--数字IC设计SOC入门进阶

Makefile入门(超详细一文读懂)_

简单整理相关概念,以及makefile在vcs等方面的应用。

更多详细内容参考文后的链接。

1、概述

详细关于makefile的语法等内容,参考文后的链接文章!!!

Makefile 文件描述了 Linux 系统下 C/C++ 工程的编译规则,它用来自动化编译 C/C++ 项目。一旦写编写好 Makefile 文件,只需要一个 make 命令,整个工程就开始自动编译,不再需要手动执行 GCC 命令。

一个中大型 C/C++ 工程的源文件有成百上千个,它们按照功能、模块、类型分别放在不同的目录中,Makefile 文件定义了一系列规则,指明了源文件的编译顺序、依赖关系、是否需要重新编译等。

 

2、在VCS中的应用

在学习了解基本的makefile文件的使用方法后,就需要在具体项目中把makefile文件用起来。

目标:阅读和理解项目中现有的makefile文件。

更多细节参考文后的链接。

参考

1、作者博文
makefile教程
Makefile教程(绝对经典,所有问题看这一篇足够了)
数字IC中的Makefile简明教程
VCS对文件进行仿真与使用Makefile综合仿真
一个实现vcs自动化仿真的makefile模板
VCS命令详解(一):编译命令
vcs + verdi 查看仿真波形
基于Makefile实现VCS的编译仿真与Verdi的设计分析
VCS仿真流程
VCS 编译仿真方法总结
Makefile脚本启动VCS+Verdi
IC设计中Makefile的应用
Synopsys VCS 编译时,启用debug选项
[VCS]VCS常用命令詳解
VCS命令行选项总结&简单脚本实例
  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值