sduwh-EDA电子设计自动化实验-作业3数据采集电路设计

本文介绍了sduwh EDA课程作业3的内容,涉及数据采集电路的设计,使用状态机控制ADC0809进行采样,并将转换结果存储到RAM。文章提供了Verilog代码及仿真测试的实验报告。
摘要由CSDN通过智能技术生成

该专栏包含sduwh的eda课程所有实验,仅供参考,订阅专栏获取全部

作业3数据采集电路设计

作业题目:

设计实现教材292页图10-27所示的数据采集电路,用状态机实现对ADC0809的采样控制,将ADC0809转换好的二进制数存储到RAM存储器中。图中RAM调用LP功能模块定制实现。

写出电路的完整verilogD工代码,并进行仿真测试,提交一份实验报告。

实验报告:

数据采集电路

代码:

代码不一定完全正确,仅供参考~ 顶层文件

module LAB3(D,CLK,EOC,RST,WE,ALE,OE,START,ADDA,DAC
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

秋时的雨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值