And门

And

小朋友们今天我们一起来建立And门电路:

 

 

And门是只有 a端输入和 b端输入都为1时 输出端为1,不然的话输出端为0,它的真值表(也就是a端输入和b端输入 和输出的值的一张表)如下:

 

And   a  b  

      0  0   0

      0  1   0

      1  0   0

      1  1   1

其中值的0代表图上的灯光不亮,1代表灯泡亮。

ab0代表开关是开的,1代表开关闭合。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值