紫光同创FPGA学习之DDR3控制器IP仿真(方法1)

          本仿真的DDR3控制器IP仿真直接使用紫光同创提供的example_design,使用modelSIM来仿真。

           所使用的的软件为  Pango Design Suite 2020.3-Lite ,Modelsim SE-64 2020.4,两者的关联请参考help 文档。

 

注意要填好自己电脑的modelSIM安装路径,一定要对(Tools->compile simulation libraries)

 

接下来开始具体的仿真文件获取。

首先打开PDS,新建工程:

选择好路径(这里是桌面的一个文件夹)

 

一直点击next,最后到finish(器件型号可以自行选择,我这里都选默认了)

 

 接下来添加DDR3控制器的IP,点击TOOLs->IP compiler

 如下:

选择system-> DDR->Hard->Logos HMI_H (1.1),命名后点击customise

之后依次配置,详情参考说明文档

 配置完毕点击generate,按提示依次点击

 之后,回到pds主界面如下

 打开DDR3_core_tmpl.v所在文件夹

 打开sim文件夹

 打开ctrl_phy_sim.tcl(我用的vs code)

 如下:

 

 进行如下修改:

 vsim 是仿真指令,这里做了修改。add wave是添加仿真波形。

接下来编写bat文件(也可以直接打开modelSIM执行ctrl_phy_sim.tcl),新建文本文档:

 写入内容 vsim -do  ctrl_phy_sim.tcl并保存,命名为do.bat

 双击do.bat即可完成仿真。

 

 之后,就可以自行观察波形了。

 

 

 

 

 

 

 

 

 

 

 

 

  • 3
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值