Vivado Design Suite与第三方软件版本对应需求关系

本文列出了Vivado Design Suite与ModelSim/Questasim等第三方模拟器的兼容版本,强调了版本匹配对于仿真关联的重要性。Xilinx推荐使用最新版本的模拟器。
摘要由CSDN通过智能技术生成

Vivado Design Suite与第三方软件modelsim/questasim 版本对应需求关系:

(版本不匹配的ModelSim/Questasim软件无法关联仿真)

 

This article lists the supported third party simulators to be used with Vivado Design Suite.

These are also listed in "Vivado Design Suite User Guide: Release Notes, Installation and Licensing" (UG973) released with the software.

Refer to the section "Architecture Support and Requirements" "Compatible Third-Party Tools".

The compatible versions are forward compatible. Xilinx recommends that you run the most current version of the simulator.

Solution

Vivado Design Suite 2018.3

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa Advanced Simulator (10.6c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.053)
  • Cadence Xcelium Parallel Simulator (18.03.005)
  • Synopsys VCS and VCS MX (N-2017.12-SP2)
  • Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

 

Vivado Design Suite 2018.2

 

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa Advanced Simulator (10.6c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.042)
  • Cadence Xcelium Parallel Simulator (17.10.005)
  • Synopsys VCS and VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

 

Vivado Design Suite 2018.1

 

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa Advanced Simulator (10.6c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.042)
  • Cadence Xcelium Parallel Simulator (17.10.005)
  • Synopsys VCS and VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

 

Vivado Design Suite 2017.4

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6b)
  • Mentor Graphics Questa Advanced Simulator (10.6b)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.028)
  • Synopsys VCS and VCS MX (M-2017.03-SP1)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

 

 

Vivado Design Suite 2017.3

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6b)
  • Mentor Graphics Questa Advanced Simulator (10.6b)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.028)
  • Synopsys VCS and VCS MX (M-2017.03-SP1)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

 

Vivado Design Suite 2017.2

 

  • Mentor Graphics ModelSim SE/DE/PE (10.5c)
  • Mentor Graphics Questa Advanced Simulator (10.5c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.014)
  • Synopsys VCS and VCS MX (L-2016.06-SP1)
  • Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

 

Vivado Design Suite 2017.1

 

  • Mentor Graphics ModelSim SE/DE/PE (10.5c)
  • Mentor Graphics Questa Advanced Simulator (10.5c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.014)
  • Synopsys VCS and VCS MX (L-2016.06-SP1)
  • Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

 

Vivado Design Suite 2016.4

  • Mentor Graphics ModelSim SE/DE/PE (10.5c)
  • Mentor Graphics Questa Advanced Simulator (10.5c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.005)
  • Synopsys VCS and VCS MX (L-2016.06)
  • Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

 

Vivado Design Suite 2016.3

  • Mentor Graphics ModelSim SE/DE/PE (10.5c)
  • Mentor Graphics Questa Advanced Simulator (10.5c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.005)
  • Synopsys VCS and VCS MX (L-2016.06)
  • Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

 

Vivado Design Suite 2016.2, 2016.1

  • Mentor Graphics ModelSim SE/DE/PE (10.4d)
  • Mentor Graphics Questa Advanced Simulator (10.4d)
  • Cadence Incisive Enterprise Simulator (IES) (15.10.013)
  • Synopsys VCS and VCS MX (K-2015.09)
  • Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)

 

Vivado Design Suite 2015.4, 2015.3

  • Mentor Graphics ModelSim SE/DE/PE (10.4b)
  • Mentor Graphics Questa Advanced Simulator (10.4b)
  • Cadence Incisive Enterprise Simulator (IES) (14.20.006)
  • Synopsys VCS and VCS MX (J-2014.12-SP2)
  • Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)

 

Vivado Design Suite 2015.2, 2015.1

  • Mentor Graphics ModelSim SE/DE/PE (10.3d)
  • Mentor Graphics Questa Advanced Simulator (10.3d)
  • Cadence Incisive Enterprise Simulator (IES) (14.10.011)
  • Synopsys VCS and VCS MX (I-2014.03-SP1)
  • Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)

 

Vivado Design Suite 2014.4

 

  • Mentor Graphics ModelSim SE/DE/PE (10.3b)
  • Mentor Graphics Questa Advanced Simulator (10.3b)
  • Cadence Incisive Enterprise Simulator (IES) (13.20.005)
  • Synopsys VCS and VCS MX (I-2014.03)
  • Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

 

Vivado Design Suite 2014.3

  • Mentor Graphics ModelSim SE/DE/PE (10.3b)
  • Mentor Graphics Questa Advanced Simulator (10.3b)
  • Cadence Incisive Enterprise Simulator (IES) (13.20.005)
  • Synopsys VCS and VCS MX (I-2014.03)
  • Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)

 

Vivado Design Suite 2014.2, 2014.1

  • Mentor Graphics ModelSim SE/DE/PE (10.2a)
  • Mentor Graphics Questa Advanced Simulator (10.2a)
  • Cadence Incisive Enterprise Simulator (IES) (12.2-016)
  • Synopsys VCS and VCS MX (H-2013.06-SP1)
  • Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

 

Vivado Design Suite 2013.4

  • Mentor Graphics ModelSim SE/DE/PE (10.2a)
  • Mentor Graphics Questa Advanced Simulator (10.2a)
  • Cadence Incisive Enterprise Simulator (IES) (12.2-016)
  • Synopsys VCS and VCS MX (H-2013.06)
  • Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)

 

Vivado Design Suite 2013.3

  • Mentor Graphics ModelSim SE/DE/PE (10.2a)
  • Mentor Graphics Questa Advanced Simulator (10.2a)
  • Cadence Incisive Enterprise Simulator (IES) (12.2-016)
  • Synopsys VCS and VCS MX (H-2013.06-3)
  • Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)

 

Vivado Design Suite 2013.1, 2013.2

  • Mentor Graphics ModelSim SE/DE/PE (10.1b)
  • Mentor Graphics Questa Advanced Simulator (10.1b)
  • Cadence Incisive Enterprise Simulator (IES) (12.2)
  • Synopsys VCS and VCS MX (G-2012.09)
  • Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值