Vivado Design Suite与第三方软件版本对应需求关系

Vivado Design Suite与第三方软件modelsim/questasim 版本对应需求关系:

(版本不匹配的ModelSim/Questasim软件无法关联仿真)

 

This article lists the supported third party simulators to be used with Vivado Design Suite.

These are also listed in "Vivado Design Suite User Guide: Release Notes, Installation and Licensing" (UG973) released with the software.

Refer to the section "Architecture Support and Requirements" "Compatible Third-Party Tools".

The compatible versions are forward compatible. Xilinx recommends that you run the most current version of the simulator.

Solution

Vivado Design Suite 2018.3

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa Advanced Simulator (10.6c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.053)
  • Cadence Xcelium Parallel Simulator (18.03.005)
  • Synopsys VCS and VCS MX (N-2017.12-SP2)
  • Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

 

Vivado Design Suite 2018.2

 

 

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa Advanced Simulator (10.6c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.042)
  • Cadence Xcelium Parallel Simulator (17.10.005)
  • Synopsys VCS and VCS MX (N-2017.12)
  • Aldec A
  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值