野火A7学习第八次(按键消抖相关)

1 理论学习

在这里插入图片描述
在这里插入图片描述

2 实战演练

2.1 设计规划

在这里插入图片描述
在这里插入图片描述

2.2 波形绘制

在这里插入图片描述
在这里插入图片描述

2.3 代码编写和编译

module key_filter
#(
	parameter CNT_MAX = 20'd999_999;
)
(
	input wire sys_clk,
	input wire sys_rst_n,
	input wire key_in,
	
	output key_flag
);

reg [19:0]cnt_20ms;

always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		cnt_20ms <= 20'd0;
	else if(key_in == 1'd1)
		cnt_20ms <= 20'd0;
	else if(cnt_20ms == CNT_MAX)
		cnt_20ms <= CNT_MAX;
	else
		cnt_20ms <= cnt_20ms + 1'd1;
end

always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		key_flag <= 1'd0;
	else if(cnt_20ms == (CNT_MAX - 20'd1))
		key_flag <= 1'd1;
	else
		key_flag <= 1'd0;
		

end

仿真文件:

`timescale 1ns/1ns

module tb_key_filter();

reg sys_clk;
reg sys_rst_n;
reg key_in;

reg [7:0]tb_cnt;

wire key_flag;

initial begin
	sys_clk <= 1'b1;
	sys_rst_n <= 1'b0;
	#20
	sys_rst_n <= 1'b1;
end

always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		tb_cnt <= 8'd0;
	else if(tb_cnt == 8'd249)
		tb_cnt <= 8'd0;
	else
		tb_cnt <= tb_cnt + 8'd1;
end


always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		key_in <= 1'd1;
	....
 

end
endmodule

没时间写了:
在这里插入图片描述

2.4 波形仿真与对比

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值