vhdl数字逻辑

8D锁存器的功能

LIBRARY IEEE;

USEIEEE.SRD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CT74273 IS

    PORT(D1,D2,D3,D4,D5,D6,D7,D8,CRN,CP:INSTD_LOGIC;

Q1,Q2,Q3,Q4,Q5,Q6,Q7,Q8:OUT STD_LOGIC);

END CT74273;

ARCHITECTURE one OFCT74273 IS

    SIGNAL D_SIGNAL:STD_LOGIC_VECTOR(8 DOWNTO1);

    SIGNAL Q_SIGNAL:STD_LOGIC_VECTOR(8 DOWNTO1);

BEGIN

PROCESS(D1,D2,D3,D4,D5,D6,D7,D8,CRN,CP)

BEGIN

    D_SIGNAL <=(D8&D7&D6&D5&D4&D3&D2&D1);

    IF CRN = ‘0’THEN Q_SIGNAL <=”00000000”;

    ELSE CP’EVENT AND CP’ = ‘1’THEN

        Q_SIGNAL <= D_SIGNAL;

END IF;

Q1 <=Q_SIGNAL(1);

Q1 <=Q_SIGNAL(2);

Q1 <=Q_SIGNAL(3);

Q1 <=Q_SIGNAL(4);

Q1 <=Q_SIGNAL(5);

Q1 <=Q_SIGNAL(6);

Q1 <=Q_SIGNAL(7);

Q1 <=Q_SIGNAL(8);

END PROCESS;

END one;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值