四位数值比较器

LIBRARY IEEE;  
USE IEEE.std_logic_1164.all; 
entity com_4 is  
    port(a,b:in std_logic_vector(3 downto 0);     
end entity;  
architecture struct of com_4 is  
    component com_1 is   
        port(a,b:in std_logic;       
            q:out std_logic_vector(2 downto 0));  
    end component;  
    signal q0,q1,q2,q3:std_logic_vector(2 downto 0);
    begin   
        u0:com_1 port map(a(0),b(0),q0);   
        u1:com_1 port map(a(1),b(1),q1);   
        u2:com_1 port map(a(2),b(2),q2);   
        u3:com_1 port map(a(3),b(3),q3);     
    process(q0,q1,q2,q3)is   
    begin   
        if(q3/="010") then  
            q<=q3;    
        elsif(q2/="010") then   
            q<=q2;    
        elsif(q1/="010") then   
            q<=q1;    
        elsif(q0/="010") then   
            q<=q0;    
        else    
            q<="010";    
        end if;  
    end process; 
end architecture;
  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值