Vcs+Verdi的Makefile脚本

# makefile
all:	clean vcs sim verdi
vcs:
	vcs \
	-sverilog \
	-debug_all \
	-l com.log \
	+v2k \
	-P $(VERDI_HOME)/share/PLI/VCS/LINUX64/novas.tab \
	${VERDI_HOME}/share/PLI/VCS/LINUX64/pli.a \
    	-f flist.f \
	+vcs+lic+wait 
sim: 
	./simv \
	-ucli -i ./run.tcl \
	-l sim.log
verdi:
	verdi \
	-sv \
	-f flist.f \
	-nologo \
	-ssf TAGE.fsdb 
clean:
	rm -rf *.vpd csrc *.log *.key *.vdb simv* DVEfiles coverage *.fsdb verdiLog novas.conf novas.rc

特别注意,如果把sim中 -ucli -i ./run.tcl \放在了最后面,会报错- -

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值