数字验证学习笔记——UVM学习4 phase机制

一、phase机制

SV的验证环境构建中,我们可以发现,传统的硬件设计模型在仿真开始前,已经完成例化和连接了;而SV的软件部分对象例化则需要在仿真开始后执行。
虽然对象例化通过调用构建函数new()来实现,但是单单通过new()函数无法解决一个重要的问题,就是验证环境在实现层次化时,如何保证例化的先后关系,以及各个组件在例化后的连接。
此外如果要实现高级功能,例如在顶层到底层的配置时,SV也无法在底层例化之前完成对底层的配置逻辑。
因此UVM在验证环境构建时,引入phase机制,通过该机制很清晰地将UVM仿真阶段层次化。
这里的层次化,不单单时各个phase的先后执行顺序,而且同一phase中的层次化组件之间的phase也有先后关系。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在所有的phase中,只有run_phase方法是一个可以耗时的任务,这意味着该方法可以完成一些等待、激励、采样的任务。对于其他phase对应得方法都是函数,必须立即返回。
在run_phase中,用户如果要完成测试,通常需要组织下面得激励序列:

  • 上电
  • 复位
  • 寄存器配置
  • 发送主要测试内容
  • 等待DUT完成测试
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    UVM顶层结构
  • ROOT
    • TEST
      • ENV
        • 各个组件
          在这里插入图片描述
          在这里插入图片描述
          在这里插入图片描述
          在这里插入图片描述

在这里插入图片描述
objection 是用来控制仿真退出
在这里插入图片描述
在这里插入图片描述
objection实例一
在这里插入图片描述
objection实例二
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值