电力电子转战数字IC20220715day49——UVM的phase机制

目录

6. phase机制

UVM编译和运行的顺序

uvm_top的职责

UVM仿真结束


6. phase机制

  • SV不能做到的:虽然通过new函数例化对象,在验证env实现层次化时无法保证例化的先后关系,以及各个组件在例化后的连接;顶层到底层的配置时无法在底层组件例化之前完成对底层的配置逻辑
  • 作用:将uvm仿真阶段层次化,有先后。不仅是各个phase的先后执行顺序,同一phase中的层次化组件之间的phase也有先后关系
  • 9个phase对应9个方法,只有component具备这9个,主要用build,connect,run,report。运行顺序自上到下

class subcomp extends uvm_component;
`uvm_component_utils (subcomp)
function new (string name, uvm_component parent);
	super.new(name, parent);
endfunction

function void build_phase (uvm_phase phase);//传递当前的phase进来
	`uvm_info("build_phase", "", UVM_LOW)
endfunction

function void connect_phase (uvm_phase phase);
	`uvm_info("connect_phase", "", UVM_LOW)
endfunction

function void end_of_elaboration_phase (uvm_phase phase);
	`uvm_info("end_of_elaboration_phase", "", UVM_LOW)
endfunction

function void start_of_elaboration_phase (uvm_phase phase);
	`uvm_info("start_of_elaboration_phase", "", UVM_LOW)
endfunction

task run_phase (uvm_phase phase);
	`uvm
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值