国考系列

[2018吉林] 一位女士为了寻找曾经帮助她的司机,向新闻媒体提供了她记得的车牌信息。女士看到的车牌号为“吉AC ⋆ ⋆ ⋆ ⋆ \star\star\star\star ”,最后一位是字母,其他三位全是奇数,且数字逐渐变大,那么符合要求的车牌有
A.380个
B.260个
C.180个
D.460个

思路一:由“最后一位是字母”可知,该位有26种可能;由“其他三位全是奇数”,则可知这三位数可能是“13579”中的某三个数字,又“数字逐渐变大”,则可知这个数字可能是:

135 137 139 157 159 179 357 359 379 579
共10种可能。
根据分步计数原理,符合车牌的要求共有 26 × 10 = 260 26 \times10 = 260 26×10=260个。

思路二:车牌号最后一位是字母,英文字母共有26个;其他三位全是奇数,且数字逐渐增大,奇数有1、3、5、7、9,共5个,任选3个,则 C 5 3 = 10 C_5^3=10 C53=10种。则符合车牌要求的共有 26 × 10 = 260 26 \times10 = 260 26×10=260个。

对比上述两种思路,都是符合逻辑要求的。但思路一由于枚举法带来的时间成本是很大的,不利于解题; 思路二充分利用了排列组合原理,提高了解题效率。

本题让人困惑的是“且数字逐渐增大”这一表述,这使得符合条件的数字是递增的,于是出现了有序性。正因为有了有序性,进一步让人联想到了用排列解题,然而排列的方法不符合提议。但是组合虽然体现的是无序性,不过在类似有序的题目种却是有用的。原因在于本体中所涉及的有序性必然是递增的,如1、3、5只能一种,而组合的方式1、3、5无论怎么组合,也只计算一种一种。于是,使用组合的方法可以更快解决问题。

为什么采用思路二,最根本的原因在于对排列组合的实际应用实践不多。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
国微集团FPGA考试题目主要涉及以下内容: 1. FPGA基础知识:考察对FPGA的了解程度,包括FPGA的定义、结构特点、工作原理等。如:请简述FPGA的基本概念和特点。 2. FPGA开发工具:考察对FPGA开发工具的熟悉程度,包括常用的FPGA开发软件、开发环境搭建等。如:请列举几种常用的FPGA开发工具。 3. VHDL或Verilog语言:考察对VHDL或Verilog语言的掌握程度,包括语法、数据类型、查找表设计等。如:请写出一个简单的VHDL或Verilog代码,实现一个2输入AND门。 4. FPGA设计流程:考察对FPGA设计流程的了解,包括需求分析、设计规划、编码实现、仿真调试等。如:简述FPGA设计流程,并说明各个阶段的主要任务。 5. 实际应用案例:考察对FPGA在实际应用中的理解和应用能力。如:请举例说明FPGA在数字信号处理领域的应用场景。 回答示例: 1. FPGA基础知识:FPGA(Field-Programmable Gate Array)中文为现场可编程门阵列,是一种可现场编程的集成电路,具有灵活性高、可重构等特点。 2. FPGA开发工具:常用的FPGA开发工具有Xilinx的Vivado、Altera(现Intel)的Quartus、Lattice的Radiant等。 3. VHDL或Verilog语言:以下为一个简单的VHDL代码实现2输入AND门: ```vhdl library ieee; use ieee.std_logic_1164.all; entity AND_gate is port(a, b : in std_logic; y : out std_logic); end entity; architecture behavior of AND_gate is begin y <= a and b; end architecture; ``` 4. FPGA设计流程:FPGA设计流程包括需求分析(确定设计目标和功能)、设计规划(选择设计工具和平台)、编码实现(使用HDL进行硬件描述)、仿真调试(验证设计功能和性能)、综合实现(将HDL转化为逻辑电路)等阶段,每个阶段都有具体任务和相应的工具和方法。 5. 实际应用案例:FPGA在数字信号处理领域广泛应用,如音频和视频编码、数字滤波器、图像处理等。例如,FPGA可以用于实时音频信号采集和处理,将音频信号转化为数字信号后,利用FPGA进行滤波、音频编解码等处理,实现音频信号的增强和压缩。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值