Vivado & Modelsim联合进行UVM仿真指南

20 篇文章 1 订阅
8 篇文章 0 订阅
  1. 打开Vivado,打开对应工程,点击左侧Flow Navigator-->PROJECT MANAGER-->Settings,打开设置面板。
  2. 点击Project Settings-->Simulation选项卡,如下图所示。
  3. 将Target simulator设为Modelsim Simulator。

  4.  在下方的Compilation栏中,点击Verilog options右侧的…按钮,添加D:/Program_Files/ModelSim_2020.4/verilog_src/uvm-1.1d/src作为Verilog Include Files Search Paths,如下图所示。注意,D:/Program_Files/ModelSim_2020.4是我的Modelsim安装地址,Modelsim安装目录下就有对应的UVM库,这里选择verilog_src/uvm-1.1d/src。

  5.  在modelsim.compile.vlog.more_options中,输入D:/Program_Files/ModelSim_2020.4/verilog_src/uvm-1.1d/src/uvm_pkg.sv。同样,D:/Program_Files/ModelSim_2020.4是我的Modelsim安装地址,Modelsim安装目录下就有verilog_src/uvm-1.1d/src/uvm_pkg.sv。

  6. 将Compilation栏切换为Simulation,在下方的modelsim.simulate.vsim.more_options中,输入-sv_lib D:/Program_Files/ModelSim_2020.4/uvm-1.1d/win64/uvm_dpi。,如下图所示。

  7. 在左上角Sources窗口中添加对应的设计文件和仿真文件,这里设计文件是dut.sv,仿真文件是top_tb.sv。

  8. 点击左侧Flow Navigator-->SIMULATION-->Run Simulation-->Run Behavior Simulation,运行行为级仿真。

  9. 在Modelsim的Transcript窗口中,可以看到相应输出,当然也可以查看信号波形,如下图所示。

    转自:Vivado & Modelsim联合进行UVM仿真指南 - 知乎
  • 12
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值