Modelsim查看波形窗口内断言(SVA)消息指示器

105 篇文章 13 订阅
95 篇文章 12 订阅

步骤1:创建工程并编译完成

        在相应目录下创建好工程并编译无错误后;

步骤二:

        在菜单栏中选择“Simulate”—>“Start Simulation”—>“Others”,在“Others Vsim Options”中输入

-msgmode both -displaymsgmode both

步骤三:

        返回“Start Simulation”界面,选择需要仿真的testbench文件,点击“ok”;

步骤四:

        将信号添加到“wave”窗口后,开始仿真;在波形窗口显示的消息如下图所示;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值